OpenCores
URL https://opencores.org/ocsvn/z80soc/z80soc/trunk

Subversion Repositories z80soc

[/] [z80soc/] [trunk/] [V0.7.3/] [DE1/] [z80soc.fit.rpt] - Rev 46

Compare with Previous | Blame | View Log

Fitter report for z80soc
Sun Jun 19 14:42:58 2016
Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition


---------------------
; Table of Contents ;
---------------------
  1. Legal Notice
  2. Fitter Summary
  3. Fitter Settings
  4. Parallel Compilation
  5. Ignored Assignments
  6. Incremental Compilation Preservation Summary
  7. Incremental Compilation Partition Settings
  8. Incremental Compilation Placement Preservation
  9. Pin-Out File
 10. Fitter Resource Usage Summary
 11. Fitter Partition Statistics
 12. Input Pins
 13. Output Pins
 14. Bidir Pins
 15. I/O Bank Usage
 16. All Package Pins
 17. Output Pin Default Load For Reported TCO
 18. Fitter Resource Utilization by Entity
 19. Delay Chain Summary
 20. Pad To Core Delay Chain Fanout
 21. Control Signals
 22. Global & Other Fast Signals
 23. Non-Global High Fan-Out Signals
 24. Fitter RAM Summary
 25. Other Routing Usage Summary
 26. LAB Logic Elements
 27. LAB-wide Signals
 28. LAB Signals Sourced
 29. LAB Signals Sourced Out
 30. LAB Distinct Inputs
 31. Fitter Device Options
 32. Operating Settings and Conditions
 33. Fitter Messages
 34. Fitter Suppressed Messages



----------------
; Legal Notice ;
----------------
Copyright (C) 1991-2013 Altera Corporation
Your use of Altera Corporation's design tools, logic functions 
and other software and tools, and its AMPP partner logic 
functions, and any output files from any of the foregoing 
(including device programming or simulation files), and any 
associated documentation or information are expressly subject 
to the terms and conditions of the Altera Program License 
Subscription Agreement, Altera MegaCore Function License 
Agreement, or other applicable license agreement, including, 
without limitation, that your use is for the sole purpose of 
programming logic devices manufactured by Altera and sold by 
Altera or its authorized distributors.  Please refer to the 
applicable agreement for further details.



+--------------------------------------------------------------------------------------+
; Fitter Summary                                                                       ;
+------------------------------------+-------------------------------------------------+
; Fitter Status                      ; Successful - Sun Jun 19 14:42:58 2016           ;
; Quartus II 64-Bit Version          ; 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition ;
; Revision Name                      ; z80soc                                          ;
; Top-level Entity Name              ; Z80SOC                                          ;
; Family                             ; Cyclone II                                      ;
; Device                             ; EP2C20F484C7                                    ;
; Timing Models                      ; Final                                           ;
; Total logic elements               ; 2,544 / 18,752 ( 14 % )                         ;
;     Total combinational functions  ; 2,465 / 18,752 ( 13 % )                         ;
;     Dedicated logic registers      ; 535 / 18,752 ( 3 % )                            ;
; Total registers                    ; 535                                             ;
; Total pins                         ; 281 / 315 ( 89 % )                              ;
; Total virtual pins                 ; 0                                               ;
; Total memory bits                  ; 196,600 / 239,616 ( 82 % )                      ;
; Embedded Multiplier 9-bit elements ; 0 / 52 ( 0 % )                                  ;
; Total PLLs                         ; 0 / 4 ( 0 % )                                   ;
+------------------------------------+-------------------------------------------------+


+----------------------------------------------------------------------------------------------------------------------------------------------+
; Fitter Settings                                                                                                                              ;
+----------------------------------------------------------------------------+--------------------------------+--------------------------------+
; Option                                                                     ; Setting                        ; Default Value                  ;
+----------------------------------------------------------------------------+--------------------------------+--------------------------------+
; Device                                                                     ; EP2C20F484C7                   ;                                ;
; Use smart compilation                                                      ; On                             ; Off                            ;
; Fit Attempts to Skip                                                       ; 0                              ; 0.0                            ;
; Fitter Effort                                                              ; Fast Fit                       ; Auto Fit                       ;
; Enable parallel Assembler and TimeQuest Timing Analyzer during compilation ; On                             ; On                             ;
; Enable compact report table                                                ; Off                            ; Off                            ;
; Auto Merge PLLs                                                            ; On                             ; On                             ;
; Ignore PLL Mode When Merging PLLs                                          ; Off                            ; Off                            ;
; Router Timing Optimization Level                                           ; Normal                         ; Normal                         ;
; Placement Effort Multiplier                                                ; 1.0                            ; 1.0                            ;
; Router Effort Multiplier                                                   ; 1.0                            ; 1.0                            ;
; Always Enable Input Buffers                                                ; Off                            ; Off                            ;
; Optimize Hold Timing                                                       ; IO Paths and Minimum TPD Paths ; IO Paths and Minimum TPD Paths ;
; Optimize Multi-Corner Timing                                               ; On                             ; On                             ;
; PowerPlay Power Optimization                                               ; Normal compilation             ; Normal compilation             ;
; Optimize Timing                                                            ; Normal compilation             ; Normal compilation             ;
; Optimize Timing for ECOs                                                   ; Off                            ; Off                            ;
; Regenerate full fit report during ECO compiles                             ; Off                            ; Off                            ;
; Optimize IOC Register Placement for Timing                                 ; Normal                         ; Normal                         ;
; Limit to One Fitting Attempt                                               ; Off                            ; Off                            ;
; Final Placement Optimizations                                              ; Automatically                  ; Automatically                  ;
; Fitter Aggressive Routability Optimizations                                ; Automatically                  ; Automatically                  ;
; Fitter Initial Placement Seed                                              ; 1                              ; 1                              ;
; PCI I/O                                                                    ; Off                            ; Off                            ;
; Weak Pull-Up Resistor                                                      ; Off                            ; Off                            ;
; Enable Bus-Hold Circuitry                                                  ; Off                            ; Off                            ;
; Auto Global Memory Control Signals                                         ; Off                            ; Off                            ;
; Auto Packed Registers                                                      ; Auto                           ; Auto                           ;
; Auto Delay Chains                                                          ; On                             ; On                             ;
; Auto Delay Chains for High Fanout Input Pins                               ; Off                            ; Off                            ;
; Perform Physical Synthesis for Combinational Logic for Fitting             ; Off                            ; Off                            ;
; Perform Physical Synthesis for Combinational Logic for Performance         ; Off                            ; Off                            ;
; Perform Register Duplication for Performance                               ; Off                            ; Off                            ;
; Perform Logic to Memory Mapping for Fitting                                ; Off                            ; Off                            ;
; Perform Register Retiming for Performance                                  ; Off                            ; Off                            ;
; Perform Asynchronous Signal Pipelining                                     ; Off                            ; Off                            ;
; Physical Synthesis Effort Level                                            ; Normal                         ; Normal                         ;
; Auto Global Clock                                                          ; On                             ; On                             ;
; Auto Global Register Control Signals                                       ; On                             ; On                             ;
; Force Fitter to Avoid Periphery Placement Warnings                         ; Off                            ; Off                            ;
+----------------------------------------------------------------------------+--------------------------------+--------------------------------+


Parallel compilation was disabled, but you have multiple processors available. Enable parallel compilation to reduce compilation time.
+-------------------------------------+
; Parallel Compilation                ;
+----------------------------+--------+
; Processors                 ; Number ;
+----------------------------+--------+
; Number detected on machine ; 2      ;
; Maximum allowed            ; 1      ;
+----------------------------+--------+


+---------------------------------------------------------------------------------------------+
; Ignored Assignments                                                                         ;
+--------------+----------------+--------------+-------------+---------------+----------------+
; Name         ; Ignored Entity ; Ignored From ; Ignored To  ; Ignored Value ; Ignored Source ;
+--------------+----------------+--------------+-------------+---------------+----------------+
; Location     ;                ;              ; CLOCK_24[0] ; PIN_B12       ; QSF Assignment ;
; Location     ;                ;              ; CLOCK_24[1] ; PIN_A12       ; QSF Assignment ;
; I/O Standard ;                ;              ; CLOCK_24[0] ; LVTTL         ; QSF Assignment ;
; I/O Standard ;                ;              ; CLOCK_24[1] ; LVTTL         ; QSF Assignment ;
; I/O Standard ;                ;              ; CLOCK_27[1] ; LVTTL         ; QSF Assignment ;
+--------------+----------------+--------------+-------------+---------------+----------------+


+----------------------------------------------+
; Incremental Compilation Preservation Summary ;
+---------------------+------------------------+
; Type                ; Value                  ;
+---------------------+------------------------+
; Placement (by node) ;                        ;
;     -- Requested    ; 0 / 3351 ( 0.00 % )    ;
;     -- Achieved     ; 0 / 3351 ( 0.00 % )    ;
;                     ;                        ;
; Routing (by net)    ;                        ;
;     -- Requested    ; 0 / 0 ( 0.00 % )       ;
;     -- Achieved     ; 0 / 0 ( 0.00 % )       ;
+---------------------+------------------------+


+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Incremental Compilation Partition Settings                                                                                                                                             ;
+--------------------------------+----------------+-------------------+-------------------------+------------------------+------------------------------+--------------------------------+
; Partition Name                 ; Partition Type ; Netlist Type Used ; Preservation Level Used ; Netlist Type Requested ; Preservation Level Requested ; Contents                       ;
+--------------------------------+----------------+-------------------+-------------------------+------------------------+------------------------------+--------------------------------+
; Top                            ; User-created   ; Source File       ; N/A                     ; Source File            ; N/A                          ;                                ;
; hard_block:auto_generated_inst ; Auto-generated ; Source File       ; N/A                     ; Source File            ; N/A                          ; hard_block:auto_generated_inst ;
+--------------------------------+----------------+-------------------+-------------------------+------------------------+------------------------------+--------------------------------+


+------------------------------------------------------------------------------------------------------------+
; Incremental Compilation Placement Preservation                                                             ;
+--------------------------------+---------+-------------------+-------------------------+-------------------+
; Partition Name                 ; # Nodes ; # Preserved Nodes ; Preservation Level Used ; Netlist Type Used ;
+--------------------------------+---------+-------------------+-------------------------+-------------------+
; Top                            ; 3348    ; 0                 ; N/A                     ; Source File       ;
; hard_block:auto_generated_inst ; 3       ; 0                 ; N/A                     ; Source File       ;
+--------------------------------+---------+-------------------+-------------------------+-------------------+


+--------------+
; Pin-Out File ;
+--------------+
The pin-out file can be found in F:/z80soc-local/hw/0.7.3/DE1/z80soc.pin.


+--------------------------------------------------------------------------+
; Fitter Resource Usage Summary                                            ;
+---------------------------------------------+----------------------------+
; Resource                                    ; Usage                      ;
+---------------------------------------------+----------------------------+
; Total logic elements                        ; 2,544 / 18,752 ( 14 % )    ;
;     -- Combinational with no register       ; 2009                       ;
;     -- Register only                        ; 79                         ;
;     -- Combinational with a register        ; 456                        ;
;                                             ;                            ;
; Logic element usage by number of LUT inputs ;                            ;
;     -- 4 input functions                    ; 1731                       ;
;     -- 3 input functions                    ; 454                        ;
;     -- <=2 input functions                  ; 280                        ;
;     -- Register only                        ; 79                         ;
;                                             ;                            ;
; Logic elements by mode                      ;                            ;
;     -- normal mode                          ; 2279                       ;
;     -- arithmetic mode                      ; 186                        ;
;                                             ;                            ;
; Total registers*                            ; 535 / 19,649 ( 3 % )       ;
;     -- Dedicated logic registers            ; 535 / 18,752 ( 3 % )       ;
;     -- I/O registers                        ; 0 / 897 ( 0 % )            ;
;                                             ;                            ;
; Total LABs:  partially or completely used   ; 179 / 1,172 ( 15 % )       ;
; Virtual pins                                ; 0                          ;
; I/O pins                                    ; 281 / 315 ( 89 % )         ;
;     -- Clock pins                           ; 6 / 8 ( 75 % )             ;
;                                             ;                            ;
; Global signals                              ; 11                         ;
; M4Ks                                        ; 48 / 52 ( 92 % )           ;
; Total block memory bits                     ; 196,600 / 239,616 ( 82 % ) ;
; Total block memory implementation bits      ; 221,184 / 239,616 ( 92 % ) ;
; Embedded Multiplier 9-bit elements          ; 0 / 52 ( 0 % )             ;
; PLLs                                        ; 0 / 4 ( 0 % )              ;
; Global clocks                               ; 11 / 16 ( 69 % )           ;
; JTAGs                                       ; 0 / 1 ( 0 % )              ;
; ASMI blocks                                 ; 0 / 1 ( 0 % )              ;
; CRC blocks                                  ; 0 / 1 ( 0 % )              ;
; Average interconnect usage (total/H/V)      ; 8% / 8% / 8%               ;
; Peak interconnect usage (total/H/V)         ; 25% / 25% / 25%            ;
; Maximum fan-out                             ; 401                        ;
; Highest non-global fan-out                  ; 217                        ;
; Total fan-out                               ; 11520                      ;
; Average fan-out                             ; 3.40                       ;
+---------------------------------------------+----------------------------+
*  Register count does not include registers inside RAM blocks or DSP blocks.



+------------------------------------------------------------------------------------------------------+
; Fitter Partition Statistics                                                                          ;
+---------------------------------------------+-----------------------+--------------------------------+
; Statistic                                   ; Top                   ; hard_block:auto_generated_inst ;
+---------------------------------------------+-----------------------+--------------------------------+
; Difficulty Clustering Region                ; Low                   ; Low                            ;
;                                             ;                       ;                                ;
; Total logic elements                        ; 2544 / 18752 ( 14 % ) ; 0 / 18752 ( 0 % )              ;
;     -- Combinational with no register       ; 2009                  ; 0                              ;
;     -- Register only                        ; 79                    ; 0                              ;
;     -- Combinational with a register        ; 456                   ; 0                              ;
;                                             ;                       ;                                ;
; Logic element usage by number of LUT inputs ;                       ;                                ;
;     -- 4 input functions                    ; 1731                  ; 0                              ;
;     -- 3 input functions                    ; 454                   ; 0                              ;
;     -- <=2 input functions                  ; 280                   ; 0                              ;
;     -- Register only                        ; 79                    ; 0                              ;
;                                             ;                       ;                                ;
; Logic elements by mode                      ;                       ;                                ;
;     -- normal mode                          ; 2279                  ; 0                              ;
;     -- arithmetic mode                      ; 186                   ; 0                              ;
;                                             ;                       ;                                ;
; Total registers                             ; 535                   ; 0                              ;
;     -- Dedicated logic registers            ; 535 / 18752 ( 3 % )   ; 0 / 18752 ( 0 % )              ;
;                                             ;                       ;                                ;
; Total LABs:  partially or completely used   ; 179 / 1172 ( 15 % )   ; 0 / 1172 ( 0 % )               ;
;                                             ;                       ;                                ;
; Virtual pins                                ; 0                     ; 0                              ;
; I/O pins                                    ; 281                   ; 0                              ;
; Embedded Multiplier 9-bit elements          ; 0 / 52 ( 0 % )        ; 0 / 52 ( 0 % )                 ;
; Total memory bits                           ; 196600                ; 0                              ;
; Total RAM block bits                        ; 221184                ; 0                              ;
; M4K                                         ; 48 / 52 ( 92 % )      ; 0 / 52 ( 0 % )                 ;
; Clock control block                         ; 11 / 20 ( 55 % )      ; 0 / 20 ( 0 % )                 ;
;                                             ;                       ;                                ;
; Connections                                 ;                       ;                                ;
;     -- Input Connections                    ; 0                     ; 0                              ;
;     -- Registered Input Connections         ; 0                     ; 0                              ;
;     -- Output Connections                   ; 0                     ; 0                              ;
;     -- Registered Output Connections        ; 0                     ; 0                              ;
;                                             ;                       ;                                ;
; Internal Connections                        ;                       ;                                ;
;     -- Total Connections                    ; 11520                 ; 0                              ;
;     -- Registered Connections               ; 4964                  ; 0                              ;
;                                             ;                       ;                                ;
; External Connections                        ;                       ;                                ;
;     -- Top                                  ; 0                     ; 0                              ;
;     -- hard_block:auto_generated_inst       ; 0                     ; 0                              ;
;                                             ;                       ;                                ;
; Partition Interface                         ;                       ;                                ;
;     -- Input Ports                          ; 24                    ; 0                              ;
;     -- Output Ports                         ; 139                   ; 0                              ;
;     -- Bidir Ports                          ; 118                   ; 0                              ;
;                                             ;                       ;                                ;
; Registered Ports                            ;                       ;                                ;
;     -- Registered Input Ports               ; 0                     ; 0                              ;
;     -- Registered Output Ports              ; 0                     ; 0                              ;
;                                             ;                       ;                                ;
; Port Connectivity                           ;                       ;                                ;
;     -- Input Ports driven by GND            ; 0                     ; 0                              ;
;     -- Output Ports driven by GND           ; 0                     ; 0                              ;
;     -- Input Ports driven by VCC            ; 0                     ; 0                              ;
;     -- Output Ports driven by VCC           ; 0                     ; 0                              ;
;     -- Input Ports with no Source           ; 0                     ; 0                              ;
;     -- Output Ports with no Source          ; 0                     ; 0                              ;
;     -- Input Ports with no Fanout           ; 0                     ; 0                              ;
;     -- Output Ports with no Fanout          ; 0                     ; 0                              ;
+---------------------------------------------+-----------------------+--------------------------------+


+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Input Pins                                                                                                                                                                                                                                                       ;
+------------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+-------------+----------------------+
; Name       ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Cell number ; Combinational Fan-Out ; Registered Fan-Out ; Global ; Input Register ; Power Up High ; PCI I/O Enabled ; Bus Hold ; Weak Pull Up ; I/O Standard ; Termination ; Location assigned by ;
+------------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+-------------+----------------------+
; AUD_ADCDAT ; B6    ; 3        ; 3            ; 27           ; 0           ; 0                     ; 0                  ; no     ; no             ; no            ; no              ; no       ; Off          ; 3.3-V LVTTL  ; Off         ; User                 ;
; CLOCK_27   ; C16   ; 4        ; 44           ; 27           ; 2           ; 0                     ; 0                  ; no     ; no             ; no            ; no              ; no       ; Off          ; 3.3-V LVTTL  ; Off         ; Fitter               ;
; CLOCK_50   ; L1    ; 2        ; 0            ; 13           ; 0           ; 3                     ; 0                  ; yes    ; no             ; no            ; no              ; no       ; Off          ; 3.3-V LVTTL  ; Off         ; User                 ;
; EXT_CLOCK  ; M21   ; 6        ; 50           ; 14           ; 3           ; 0                     ; 0                  ; no     ; no             ; no            ; no              ; no       ; Off          ; 3.3-V LVTTL  ; Off         ; User                 ;
; IRDA_RXD   ; E11   ; 3        ; 22           ; 27           ; 2           ; 0                     ; 0                  ; no     ; no             ; no            ; no              ; no       ; Off          ; 3.3-V LVTTL  ; Off         ; Fitter               ;
; KEY[0]     ; R22   ; 6        ; 50           ; 10           ; 1           ; 1                     ; 0                  ; no     ; no             ; no            ; no              ; no       ; Off          ; 3.3-V LVTTL  ; Off         ; User                 ;
; KEY[1]     ; R21   ; 6        ; 50           ; 10           ; 2           ; 1                     ; 0                  ; no     ; no             ; no            ; no              ; no       ; Off          ; 3.3-V LVTTL  ; Off         ; User                 ;
; KEY[2]     ; T22   ; 6        ; 50           ; 9            ; 0           ; 1                     ; 0                  ; no     ; no             ; no            ; no              ; no       ; Off          ; 3.3-V LVTTL  ; Off         ; User                 ;
; KEY[3]     ; T21   ; 6        ; 50           ; 9            ; 1           ; 1                     ; 0                  ; no     ; no             ; no            ; no              ; no       ; Off          ; 3.3-V LVTTL  ; Off         ; User                 ;
; SD_DAT     ; F9    ; 3        ; 11           ; 27           ; 2           ; 0                     ; 0                  ; no     ; no             ; no            ; no              ; no       ; Off          ; 3.3-V LVTTL  ; Off         ; Fitter               ;
; SW[0]      ; L22   ; 5        ; 50           ; 14           ; 0           ; 1                     ; 0                  ; no     ; no             ; no            ; no              ; no       ; Off          ; 3.3-V LVTTL  ; Off         ; User                 ;
; SW[1]      ; L21   ; 5        ; 50           ; 14           ; 1           ; 1                     ; 0                  ; no     ; no             ; no            ; no              ; no       ; Off          ; 3.3-V LVTTL  ; Off         ; User                 ;
; SW[2]      ; M22   ; 6        ; 50           ; 14           ; 2           ; 1                     ; 0                  ; no     ; no             ; no            ; no              ; no       ; Off          ; 3.3-V LVTTL  ; Off         ; User                 ;
; SW[3]      ; V12   ; 7        ; 26           ; 0            ; 0           ; 1                     ; 0                  ; no     ; no             ; no            ; no              ; no       ; Off          ; 3.3-V LVTTL  ; Off         ; User                 ;
; SW[4]      ; W12   ; 7        ; 26           ; 0            ; 1           ; 1                     ; 0                  ; no     ; no             ; no            ; no              ; no       ; Off          ; 3.3-V LVTTL  ; Off         ; User                 ;
; SW[5]      ; U12   ; 8        ; 26           ; 0            ; 2           ; 1                     ; 0                  ; no     ; no             ; no            ; no              ; no       ; Off          ; 3.3-V LVTTL  ; Off         ; User                 ;
; SW[6]      ; U11   ; 8        ; 26           ; 0            ; 3           ; 1                     ; 0                  ; no     ; no             ; no            ; no              ; no       ; Off          ; 3.3-V LVTTL  ; Off         ; User                 ;
; SW[7]      ; M2    ; 1        ; 0            ; 13           ; 3           ; 1                     ; 0                  ; no     ; no             ; no            ; no              ; no       ; Off          ; 3.3-V LVTTL  ; Off         ; User                 ;
; SW[8]      ; M1    ; 1        ; 0            ; 13           ; 2           ; 2                     ; 0                  ; no     ; no             ; no            ; no              ; no       ; Off          ; 3.3-V LVTTL  ; Off         ; User                 ;
; SW[9]      ; L2    ; 2        ; 0            ; 13           ; 1           ; 11                    ; 0                  ; yes    ; no             ; no            ; no              ; no       ; Off          ; 3.3-V LVTTL  ; Off         ; User                 ;
; TCK        ; C7    ; 3        ; 7            ; 27           ; 2           ; 0                     ; 0                  ; no     ; no             ; no            ; no              ; no       ; Off          ; 3.3-V LVTTL  ; Off         ; User                 ;
; TCS        ; D8    ; 3        ; 9            ; 27           ; 0           ; 0                     ; 0                  ; no     ; no             ; no            ; no              ; no       ; Off          ; 3.3-V LVTTL  ; Off         ; User                 ;
; TDI        ; E8    ; 3        ; 11           ; 27           ; 3           ; 0                     ; 0                  ; no     ; no             ; no            ; no              ; no       ; Off          ; 3.3-V LVTTL  ; Off         ; User                 ;
; UART_RXD   ; F14   ; 4        ; 35           ; 27           ; 2           ; 0                     ; 0                  ; no     ; no             ; no            ; no              ; no       ; Off          ; 3.3-V LVTTL  ; Off         ; User                 ;
+------------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+-------------+----------------------+


+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Output Pins                                                                                                                                                                                                                                                                                                                                 ;
+---------------+-------+----------+--------------+--------------+-------------+-----------------+------------------------+---------------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-------------+----------------------+------+----------------------+---------------------+
; Name          ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Cell number ; Output Register ; Output Enable Register ; Power Up High ; PCI I/O Enabled ; Open Drain ; TRI Primitive ; Bus Hold ; Weak Pull Up ; I/O Standard ; Current Strength ; Termination ; Location assigned by ; Load ; Output Enable Source ; Output Enable Group ;
+---------------+-------+----------+--------------+--------------+-------------+-----------------+------------------------+---------------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-------------+----------------------+------+----------------------+---------------------+
; AUD_DACDAT    ; B5    ; 3        ; 3            ; 27           ; 2           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; AUD_XCK       ; B4    ; 3        ; 1            ; 27           ; 0           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; DRAM_ADDR[0]  ; W4    ; 1        ; 0            ; 3            ; 1           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; DRAM_ADDR[10] ; W3    ; 1        ; 0            ; 3            ; 0           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; DRAM_ADDR[11] ; N6    ; 1        ; 0            ; 11           ; 2           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; DRAM_ADDR[1]  ; W5    ; 1        ; 0            ; 2            ; 0           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; DRAM_ADDR[2]  ; Y3    ; 1        ; 0            ; 3            ; 2           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; DRAM_ADDR[3]  ; Y4    ; 1        ; 0            ; 3            ; 3           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; DRAM_ADDR[4]  ; R6    ; 1        ; 0            ; 7            ; 3           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; DRAM_ADDR[5]  ; R5    ; 1        ; 0            ; 7            ; 2           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; DRAM_ADDR[6]  ; P6    ; 1        ; 0            ; 9            ; 3           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; DRAM_ADDR[7]  ; P5    ; 1        ; 0            ; 9            ; 2           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; DRAM_ADDR[8]  ; P3    ; 1        ; 0            ; 10           ; 0           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; DRAM_ADDR[9]  ; N4    ; 1        ; 0            ; 10           ; 2           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; DRAM_BA_0     ; U3    ; 1        ; 0            ; 5            ; 2           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; DRAM_BA_1     ; V4    ; 1        ; 0            ; 2            ; 2           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; DRAM_CAS_N    ; T3    ; 1        ; 0            ; 5            ; 1           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; DRAM_CKE      ; N3    ; 1        ; 0            ; 10           ; 1           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; DRAM_CLK      ; U4    ; 1        ; 0            ; 2            ; 1           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; DRAM_CS_N     ; T6    ; 1        ; 0            ; 5            ; 0           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; DRAM_LDQM     ; R7    ; 1        ; 0            ; 9            ; 1           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; DRAM_RAS_N    ; T5    ; 1        ; 0            ; 6            ; 2           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; DRAM_UDQM     ; M5    ; 1        ; 0            ; 12           ; 0           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; DRAM_WE_N     ; R8    ; 1        ; 0            ; 9            ; 0           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; FL_ADDR[0]    ; AB20  ; 7        ; 48           ; 0            ; 1           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; FL_ADDR[10]   ; R12   ; 7        ; 33           ; 0            ; 3           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; FL_ADDR[11]   ; T12   ; 7        ; 31           ; 0            ; 2           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; FL_ADDR[12]   ; AB14  ; 7        ; 33           ; 0            ; 2           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; FL_ADDR[13]   ; AA13  ; 7        ; 29           ; 0            ; 0           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; FL_ADDR[14]   ; AB13  ; 7        ; 29           ; 0            ; 1           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; FL_ADDR[15]   ; AA12  ; 7        ; 29           ; 0            ; 2           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; FL_ADDR[16]   ; AB12  ; 7        ; 29           ; 0            ; 3           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; FL_ADDR[17]   ; AA20  ; 7        ; 48           ; 0            ; 0           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; FL_ADDR[18]   ; U14   ; 7        ; 39           ; 0            ; 3           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; FL_ADDR[19]   ; V14   ; 7        ; 37           ; 0            ; 3           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; FL_ADDR[1]    ; AA14  ; 7        ; 33           ; 0            ; 1           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; FL_ADDR[20]   ; U13   ; 7        ; 31           ; 0            ; 1           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; FL_ADDR[21]   ; R13   ; 7        ; 37           ; 0            ; 0           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; FL_ADDR[2]    ; Y16   ; 7        ; 44           ; 0            ; 2           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; FL_ADDR[3]    ; R15   ; 7        ; 42           ; 0            ; 1           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; FL_ADDR[4]    ; T15   ; 7        ; 39           ; 0            ; 2           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; FL_ADDR[5]    ; U15   ; 7        ; 46           ; 0            ; 3           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; FL_ADDR[6]    ; V15   ; 7        ; 46           ; 0            ; 2           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; FL_ADDR[7]    ; W15   ; 7        ; 39           ; 0            ; 0           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; FL_ADDR[8]    ; R14   ; 7        ; 42           ; 0            ; 2           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; FL_ADDR[9]    ; Y13   ; 7        ; 31           ; 0            ; 0           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; FL_CE_N       ; H9    ; 3        ; 15           ; 27           ; 3           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; Fitter               ; 0 pF ; -                    ; -                   ;
; FL_OE_N       ; AA15  ; 7        ; 35           ; 0            ; 3           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; FL_RST_N      ; W14   ; 7        ; 35           ; 0            ; 0           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; FL_WE_N       ; Y14   ; 7        ; 39           ; 0            ; 1           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; HEX0[0]       ; J2    ; 2        ; 0            ; 18           ; 2           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; HEX0[1]       ; J1    ; 2        ; 0            ; 18           ; 1           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; HEX0[2]       ; H2    ; 2        ; 0            ; 19           ; 1           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; HEX0[3]       ; H1    ; 2        ; 0            ; 19           ; 0           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; HEX0[4]       ; F2    ; 2        ; 0            ; 20           ; 3           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; HEX0[5]       ; F1    ; 2        ; 0            ; 20           ; 2           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; HEX0[6]       ; E2    ; 2        ; 0            ; 20           ; 1           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; HEX1[0]       ; E1    ; 2        ; 0            ; 20           ; 0           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; HEX1[1]       ; H6    ; 2        ; 0            ; 21           ; 3           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; HEX1[2]       ; H5    ; 2        ; 0            ; 21           ; 2           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; HEX1[3]       ; H4    ; 2        ; 0            ; 21           ; 1           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; HEX1[4]       ; G3    ; 2        ; 0            ; 21           ; 0           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; HEX1[5]       ; D2    ; 2        ; 0            ; 22           ; 3           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; HEX1[6]       ; D1    ; 2        ; 0            ; 22           ; 2           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; HEX2[0]       ; G5    ; 2        ; 0            ; 22           ; 0           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; HEX2[1]       ; G6    ; 2        ; 0            ; 23           ; 3           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; HEX2[2]       ; C2    ; 2        ; 0            ; 23           ; 1           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; HEX2[3]       ; C1    ; 2        ; 0            ; 23           ; 0           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; HEX2[4]       ; E3    ; 2        ; 0            ; 24           ; 2           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; HEX2[5]       ; E4    ; 2        ; 0            ; 24           ; 3           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; HEX2[6]       ; D3    ; 2        ; 0            ; 25           ; 2           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; HEX3[0]       ; F4    ; 2        ; 0            ; 23           ; 2           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; HEX3[1]       ; D5    ; 2        ; 0            ; 24           ; 0           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; HEX3[2]       ; D6    ; 2        ; 0            ; 24           ; 1           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; HEX3[3]       ; J4    ; 2        ; 0            ; 18           ; 0           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; HEX3[4]       ; L8    ; 2        ; 0            ; 19           ; 2           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; HEX3[5]       ; F3    ; 2        ; 0            ; 22           ; 1           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; HEX3[6]       ; D4    ; 2        ; 0            ; 25           ; 3           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; I2C_SCLK      ; A3    ; 3        ; 1            ; 27           ; 2           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; LEDG[0]       ; U22   ; 6        ; 50           ; 7            ; 0           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; LEDG[1]       ; U21   ; 6        ; 50           ; 7            ; 1           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; LEDG[2]       ; V22   ; 6        ; 50           ; 7            ; 2           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; LEDG[3]       ; V21   ; 6        ; 50           ; 6            ; 0           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; LEDG[4]       ; W22   ; 6        ; 50           ; 5            ; 2           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; LEDG[5]       ; W21   ; 6        ; 50           ; 4            ; 0           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; LEDG[6]       ; Y22   ; 6        ; 50           ; 6            ; 1           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; LEDG[7]       ; Y21   ; 6        ; 50           ; 6            ; 2           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; LEDR[0]       ; R20   ; 6        ; 50           ; 10           ; 0           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; LEDR[1]       ; R19   ; 6        ; 50           ; 8            ; 1           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; LEDR[2]       ; U19   ; 6        ; 50           ; 4            ; 2           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; LEDR[3]       ; Y19   ; 6        ; 50           ; 2            ; 0           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; LEDR[4]       ; T18   ; 6        ; 50           ; 3            ; 0           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; LEDR[5]       ; V19   ; 6        ; 50           ; 2            ; 2           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; LEDR[6]       ; Y18   ; 6        ; 50           ; 2            ; 3           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; LEDR[7]       ; U18   ; 6        ; 50           ; 3            ; 1           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; LEDR[8]       ; R18   ; 6        ; 50           ; 8            ; 2           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; LEDR[9]       ; R17   ; 6        ; 50           ; 5            ; 0           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; SD_CLK        ; T16   ; 7        ; 44           ; 0            ; 0           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; Fitter               ; 0 pF ; -                    ; -                   ;
; SD_CMD        ; H11   ; 3        ; 20           ; 27           ; 0           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; Fitter               ; 0 pF ; -                    ; -                   ;
; SD_DAT3       ; F11   ; 3        ; 18           ; 27           ; 0           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; Fitter               ; 0 pF ; -                    ; -                   ;
; SRAM_ADDR[0]  ; AA3   ; 8        ; 1            ; 0            ; 3           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; SRAM_ADDR[10] ; R11   ; 8        ; 20           ; 0            ; 2           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; SRAM_ADDR[11] ; T11   ; 8        ; 18           ; 0            ; 0           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; SRAM_ADDR[12] ; Y10   ; 8        ; 15           ; 0            ; 0           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; SRAM_ADDR[13] ; U10   ; 8        ; 13           ; 0            ; 2           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; SRAM_ADDR[14] ; R10   ; 8        ; 13           ; 0            ; 1           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; SRAM_ADDR[15] ; T7    ; 8        ; 5            ; 0            ; 2           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; SRAM_ADDR[16] ; Y6    ; 8        ; 3            ; 0            ; 2           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; SRAM_ADDR[17] ; Y5    ; 8        ; 3            ; 0            ; 3           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; SRAM_ADDR[1]  ; AB3   ; 8        ; 1            ; 0            ; 2           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; SRAM_ADDR[2]  ; AA4   ; 8        ; 1            ; 0            ; 0           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; SRAM_ADDR[3]  ; AB4   ; 8        ; 1            ; 0            ; 1           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; SRAM_ADDR[4]  ; AA5   ; 8        ; 3            ; 0            ; 0           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; SRAM_ADDR[5]  ; AB10  ; 8        ; 22           ; 0            ; 1           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; SRAM_ADDR[6]  ; AA11  ; 8        ; 24           ; 0            ; 0           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; SRAM_ADDR[7]  ; AB11  ; 8        ; 24           ; 0            ; 1           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; SRAM_ADDR[8]  ; V11   ; 8        ; 20           ; 0            ; 0           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; SRAM_ADDR[9]  ; W11   ; 8        ; 20           ; 0            ; 1           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; SRAM_CE_N     ; AB5   ; 8        ; 3            ; 0            ; 1           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; SRAM_LB_N     ; Y7    ; 8        ; 5            ; 0            ; 0           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; SRAM_OE_N     ; T8    ; 8        ; 5            ; 0            ; 3           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; SRAM_UB_N     ; W7    ; 8        ; 9            ; 0            ; 2           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; SRAM_WE_N     ; AA10  ; 8        ; 22           ; 0            ; 0           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; TDO           ; D7    ; 3        ; 9            ; 27           ; 2           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; UART_TXD      ; G12   ; 4        ; 31           ; 27           ; 3           ; no              ; no                     ; no            ; no              ; yes        ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; VGA_B[0]      ; A9    ; 3        ; 15           ; 27           ; 1           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; VGA_B[1]      ; D11   ; 3        ; 22           ; 27           ; 3           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; VGA_B[2]      ; A10   ; 3        ; 20           ; 27           ; 3           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; VGA_B[3]      ; B10   ; 3        ; 20           ; 27           ; 2           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; VGA_G[0]      ; B8    ; 3        ; 13           ; 27           ; 2           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; VGA_G[1]      ; C10   ; 3        ; 18           ; 27           ; 2           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; VGA_G[2]      ; B9    ; 3        ; 15           ; 27           ; 0           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; VGA_G[3]      ; A8    ; 3        ; 13           ; 27           ; 3           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; VGA_HS        ; A11   ; 3        ; 22           ; 27           ; 1           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; VGA_R[0]      ; D9    ; 3        ; 13           ; 27           ; 1           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; VGA_R[1]      ; C9    ; 3        ; 9            ; 27           ; 1           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; VGA_R[2]      ; A7    ; 3        ; 11           ; 27           ; 1           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; VGA_R[3]      ; B7    ; 3        ; 11           ; 27           ; 0           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; VGA_VS        ; B11   ; 3        ; 22           ; 27           ; 0           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
+---------------+-------+----------+--------------+--------------+-------------+-----------------+------------------------+---------------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-------------+----------------------+------+----------------------+---------------------+


+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Bidir Pins                                                                                                                                                                                                                                                                                                                                                                                       ;
+-------------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+----------------+-----------------+------------------------+---------------+-----------------+------------+----------+--------------+--------------+------------------+-------------+----------------------+------+----------------------+---------------------+
; Name        ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Cell number ; Combinational Fan-Out ; Registered Fan-Out ; Global ; Input Register ; Output Register ; Output Enable Register ; Power Up High ; PCI I/O Enabled ; Open Drain ; Bus Hold ; Weak Pull Up ; I/O Standard ; Current Strength ; Termination ; Location assigned by ; Load ; Output Enable Source ; Output Enable Group ;
+-------------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+----------------+-----------------+------------------------+---------------+-----------------+------------+----------+--------------+--------------+------------------+-------------+----------------------+------+----------------------+---------------------+
; AUD_ADCLRCK ; A6    ; 3        ; 3            ; 27           ; 1           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; AUD_BCLK    ; A4    ; 3        ; 1            ; 27           ; 1           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; AUD_DACLRCK ; A5    ; 3        ; 3            ; 27           ; 3           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; DRAM_DQ[0]  ; U1    ; 1        ; 0            ; 7            ; 0           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; DRAM_DQ[10] ; P1    ; 1        ; 0            ; 11           ; 0           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; DRAM_DQ[11] ; P2    ; 1        ; 0            ; 11           ; 1           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; DRAM_DQ[12] ; R1    ; 1        ; 0            ; 8            ; 0           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; DRAM_DQ[13] ; R2    ; 1        ; 0            ; 8            ; 1           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; DRAM_DQ[14] ; T1    ; 1        ; 0            ; 8            ; 2           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; DRAM_DQ[15] ; T2    ; 1        ; 0            ; 8            ; 3           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; DRAM_DQ[1]  ; U2    ; 1        ; 0            ; 7            ; 1           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; DRAM_DQ[2]  ; V1    ; 1        ; 0            ; 6            ; 0           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; DRAM_DQ[3]  ; V2    ; 1        ; 0            ; 6            ; 1           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; DRAM_DQ[4]  ; W1    ; 1        ; 0            ; 4            ; 0           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; DRAM_DQ[5]  ; W2    ; 1        ; 0            ; 4            ; 1           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; DRAM_DQ[6]  ; Y1    ; 1        ; 0            ; 4            ; 2           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; DRAM_DQ[7]  ; Y2    ; 1        ; 0            ; 4            ; 3           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; DRAM_DQ[8]  ; N1    ; 1        ; 0            ; 12           ; 2           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; DRAM_DQ[9]  ; N2    ; 1        ; 0            ; 12           ; 3           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; FL_DQ[0]    ; AB16  ; 7        ; 35           ; 0            ; 2           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; FL_DQ[1]    ; AA16  ; 7        ; 35           ; 0            ; 1           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; FL_DQ[2]    ; AB17  ; 7        ; 37           ; 0            ; 2           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; FL_DQ[3]    ; AA17  ; 7        ; 37           ; 0            ; 1           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; FL_DQ[4]    ; AB18  ; 7        ; 42           ; 0            ; 0           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; FL_DQ[5]    ; AA18  ; 7        ; 44           ; 0            ; 3           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; FL_DQ[6]    ; AB19  ; 7        ; 48           ; 0            ; 3           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; FL_DQ[7]    ; AA19  ; 7        ; 48           ; 0            ; 2           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; GPIO_0[0]   ; A13   ; 4        ; 26           ; 27           ; 1           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; GPIO_0[10]  ; A18   ; 4        ; 46           ; 27           ; 3           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; GPIO_0[11]  ; B18   ; 4        ; 46           ; 27           ; 2           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; GPIO_0[12]  ; A19   ; 4        ; 46           ; 27           ; 1           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; GPIO_0[13]  ; B19   ; 4        ; 46           ; 27           ; 0           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; GPIO_0[14]  ; A20   ; 4        ; 48           ; 27           ; 3           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; GPIO_0[15]  ; B20   ; 4        ; 48           ; 27           ; 2           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; GPIO_0[16]  ; C21   ; 5        ; 50           ; 24           ; 2           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; GPIO_0[17]  ; C22   ; 5        ; 50           ; 24           ; 1           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; GPIO_0[18]  ; D21   ; 5        ; 50           ; 21           ; 0           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; GPIO_0[19]  ; D22   ; 5        ; 50           ; 22           ; 2           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; GPIO_0[1]   ; B13   ; 4        ; 26           ; 27           ; 0           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; GPIO_0[20]  ; E21   ; 5        ; 50           ; 21           ; 2           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; GPIO_0[21]  ; E22   ; 5        ; 50           ; 21           ; 1           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; GPIO_0[22]  ; F21   ; 5        ; 50           ; 20           ; 3           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; GPIO_0[23]  ; F22   ; 5        ; 50           ; 20           ; 2           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; GPIO_0[24]  ; G21   ; 5        ; 50           ; 19           ; 1           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; GPIO_0[25]  ; G22   ; 5        ; 50           ; 19           ; 0           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; GPIO_0[26]  ; J21   ; 5        ; 50           ; 16           ; 3           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; GPIO_0[27]  ; J22   ; 5        ; 50           ; 16           ; 2           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; GPIO_0[28]  ; K21   ; 5        ; 50           ; 15           ; 1           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; GPIO_0[29]  ; K22   ; 5        ; 50           ; 15           ; 0           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; GPIO_0[2]   ; A14   ; 4        ; 29           ; 27           ; 1           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; GPIO_0[30]  ; J19   ; 5        ; 50           ; 17           ; 1           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; GPIO_0[31]  ; J20   ; 5        ; 50           ; 16           ; 1           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; GPIO_0[32]  ; J18   ; 5        ; 50           ; 17           ; 0           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; GPIO_0[33]  ; K20   ; 5        ; 50           ; 17           ; 2           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; GPIO_0[34]  ; L19   ; 5        ; 50           ; 15           ; 3           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; GPIO_0[35]  ; L18   ; 5        ; 50           ; 15           ; 2           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; GPIO_0[3]   ; B14   ; 4        ; 29           ; 27           ; 0           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; GPIO_0[4]   ; A15   ; 4        ; 33           ; 27           ; 3           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; GPIO_0[5]   ; B15   ; 4        ; 33           ; 27           ; 2           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; GPIO_0[6]   ; A16   ; 4        ; 33           ; 27           ; 1           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; GPIO_0[7]   ; B16   ; 4        ; 33           ; 27           ; 0           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; GPIO_0[8]   ; A17   ; 4        ; 37           ; 27           ; 2           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; GPIO_0[9]   ; B17   ; 4        ; 37           ; 27           ; 1           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; GPIO_1[0]   ; H12   ; 4        ; 31           ; 27           ; 0           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; GPIO_1[10]  ; C14   ; 4        ; 39           ; 27           ; 1           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; GPIO_1[11]  ; D14   ; 4        ; 35           ; 27           ; 1           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; GPIO_1[12]  ; D15   ; 4        ; 39           ; 27           ; 0           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; GPIO_1[13]  ; D16   ; 4        ; 42           ; 27           ; 0           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; GPIO_1[14]  ; C17   ; 4        ; 48           ; 27           ; 1           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; GPIO_1[15]  ; C18   ; 4        ; 48           ; 27           ; 0           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; GPIO_1[16]  ; C19   ; 5        ; 50           ; 24           ; 0           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; GPIO_1[17]  ; C20   ; 5        ; 50           ; 25           ; 4           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; GPIO_1[18]  ; D19   ; 5        ; 50           ; 25           ; 3           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; GPIO_1[19]  ; D20   ; 5        ; 50           ; 25           ; 2           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; GPIO_1[1]   ; H13   ; 4        ; 37           ; 27           ; 0           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; GPIO_1[20]  ; E20   ; 5        ; 50           ; 23           ; 1           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; GPIO_1[21]  ; F20   ; 5        ; 50           ; 23           ; 0           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; GPIO_1[22]  ; E19   ; 5        ; 50           ; 25           ; 1           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; GPIO_1[23]  ; E18   ; 5        ; 50           ; 25           ; 0           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; GPIO_1[24]  ; G20   ; 5        ; 50           ; 23           ; 2           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; GPIO_1[25]  ; G18   ; 5        ; 50           ; 22           ; 0           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; GPIO_1[26]  ; G17   ; 5        ; 50           ; 22           ; 1           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; GPIO_1[27]  ; H17   ; 5        ; 50           ; 20           ; 0           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; GPIO_1[28]  ; J15   ; 5        ; 50           ; 18           ; 0           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; GPIO_1[29]  ; H18   ; 5        ; 50           ; 20           ; 1           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; GPIO_1[2]   ; H14   ; 4        ; 42           ; 27           ; 2           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; GPIO_1[30]  ; N22   ; 6        ; 50           ; 12           ; 0           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; GPIO_1[31]  ; N21   ; 6        ; 50           ; 12           ; 1           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; GPIO_1[32]  ; P15   ; 6        ; 50           ; 11           ; 2           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; GPIO_1[33]  ; N15   ; 6        ; 50           ; 11           ; 1           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; GPIO_1[34]  ; P17   ; 6        ; 50           ; 8            ; 0           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; GPIO_1[35]  ; P18   ; 6        ; 50           ; 9            ; 2           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; GPIO_1[3]   ; G15   ; 4        ; 39           ; 27           ; 2           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; GPIO_1[4]   ; E14   ; 4        ; 35           ; 27           ; 0           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; GPIO_1[5]   ; E15   ; 4        ; 42           ; 27           ; 1           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; GPIO_1[6]   ; F15   ; 4        ; 39           ; 27           ; 3           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; GPIO_1[7]   ; G16   ; 4        ; 44           ; 27           ; 0           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; GPIO_1[8]   ; F12   ; 4        ; 31           ; 27           ; 2           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; GPIO_1[9]   ; F13   ; 4        ; 35           ; 27           ; 3           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; I2C_SDAT    ; B3    ; 3        ; 1            ; 27           ; 3           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; PS2_CLK     ; H15   ; 4        ; 44           ; 27           ; 1           ; 1                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; PS2_DAT     ; J14   ; 4        ; 42           ; 27           ; 3           ; 3                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; SRAM_DQ[0]  ; AA6   ; 8        ; 7            ; 0            ; 0           ; 1                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; no         ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; SRAM_DQ~8            ; -                   ;
; SRAM_DQ[10] ; V9    ; 8        ; 9            ; 0            ; 0           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; SRAM_DQ[11] ; U9    ; 8        ; 13           ; 0            ; 3           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; SRAM_DQ[12] ; R9    ; 8        ; 13           ; 0            ; 0           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; SRAM_DQ[13] ; W8    ; 8        ; 9            ; 0            ; 1           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; SRAM_DQ[14] ; V8    ; 8        ; 9            ; 0            ; 3           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; SRAM_DQ[15] ; U8    ; 8        ; 5            ; 0            ; 1           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; SRAM_DQ[1]  ; AB6   ; 8        ; 7            ; 0            ; 1           ; 1                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; no         ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; SRAM_DQ~8            ; -                   ;
; SRAM_DQ[2]  ; AA7   ; 8        ; 11           ; 0            ; 2           ; 1                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; no         ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; SRAM_DQ~8            ; -                   ;
; SRAM_DQ[3]  ; AB7   ; 8        ; 11           ; 0            ; 3           ; 1                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; no         ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; SRAM_DQ~8            ; -                   ;
; SRAM_DQ[4]  ; AA8   ; 8        ; 15           ; 0            ; 1           ; 1                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; no         ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; SRAM_DQ~8            ; -                   ;
; SRAM_DQ[5]  ; AB8   ; 8        ; 15           ; 0            ; 2           ; 1                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; no         ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; SRAM_DQ~8            ; -                   ;
; SRAM_DQ[6]  ; AA9   ; 8        ; 18           ; 0            ; 1           ; 1                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; no         ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; SRAM_DQ~8            ; -                   ;
; SRAM_DQ[7]  ; AB9   ; 8        ; 18           ; 0            ; 2           ; 1                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; no         ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; SRAM_DQ~8            ; -                   ;
; SRAM_DQ[8]  ; Y9    ; 8        ; 11           ; 0            ; 1           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
; SRAM_DQ[9]  ; W9    ; 8        ; 11           ; 0            ; 0           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 0 pF ; -                    ; -                   ;
+-------------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+----------------+-----------------+------------------------+---------------+-----------------+------------+----------+--------------+--------------+------------------+-------------+----------------------+------+----------------------+---------------------+


+------------------------------------------------------------+
; I/O Bank Usage                                             ;
+----------+------------------+---------------+--------------+
; I/O Bank ; Usage            ; VCCIO Voltage ; VREF Voltage ;
+----------+------------------+---------------+--------------+
; 1        ; 40 / 41 ( 98 % ) ; 3.3V          ; --           ;
; 2        ; 32 / 33 ( 97 % ) ; 3.3V          ; --           ;
; 3        ; 31 / 43 ( 72 % ) ; 3.3V          ; --           ;
; 4        ; 37 / 40 ( 93 % ) ; 3.3V          ; --           ;
; 5        ; 36 / 39 ( 92 % ) ; 3.3V          ; --           ;
; 6        ; 31 / 36 ( 86 % ) ; 3.3V          ; --           ;
; 7        ; 36 / 40 ( 90 % ) ; 3.3V          ; --           ;
; 8        ; 41 / 43 ( 95 % ) ; 3.3V          ; --           ;
+----------+------------------+---------------+--------------+


+------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; All Package Pins                                                                                                                                                       ;
+----------+------------+----------+------------------------------------------+--------+--------------+---------+------------+-----------------+----------+--------------+
; Location ; Pad Number ; I/O Bank ; Pin Name/Usage                           ; Dir.   ; I/O Standard ; Voltage ; I/O Type   ; User Assignment ; Bus Hold ; Weak Pull Up ;
+----------+------------+----------+------------------------------------------+--------+--------------+---------+------------+-----------------+----------+--------------+
; A1       ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; A2       ;            ; 3        ; VCCIO3                                   ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
; A3       ; 325        ; 3        ; I2C_SCLK                                 ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; A4       ; 324        ; 3        ; AUD_BCLK                                 ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; A5       ; 322        ; 3        ; AUD_DACLRCK                              ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; A6       ; 320        ; 3        ; AUD_ADCLRCK                              ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; A7       ; 306        ; 3        ; VGA_R[2]                                 ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; A8       ; 304        ; 3        ; VGA_G[3]                                 ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; A9       ; 298        ; 3        ; VGA_B[0]                                 ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; A10      ; 293        ; 3        ; VGA_B[2]                                 ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; A11      ; 287        ; 3        ; VGA_HS                                   ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; A12      ; 283        ; 4        ; GND+                                     ;        ;              ;         ; Column I/O ;                 ; --       ; --           ;
; A13      ; 281        ; 4        ; GPIO_0[0]                                ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; A14      ; 279        ; 4        ; GPIO_0[2]                                ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; A15      ; 273        ; 4        ; GPIO_0[4]                                ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; A16      ; 271        ; 4        ; GPIO_0[6]                                ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; A17      ; 265        ; 4        ; GPIO_0[8]                                ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; A18      ; 251        ; 4        ; GPIO_0[10]                               ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; A19      ; 249        ; 4        ; GPIO_0[12]                               ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; A20      ; 247        ; 4        ; GPIO_0[14]                               ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; A21      ;            ; 4        ; VCCIO4                                   ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
; A22      ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; AA1      ;            ; 1        ; VCCIO1                                   ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
; AA2      ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; AA3      ; 82         ; 8        ; SRAM_ADDR[0]                             ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; AA4      ; 85         ; 8        ; SRAM_ADDR[2]                             ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; AA5      ; 89         ; 8        ; SRAM_ADDR[4]                             ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; AA6      ; 97         ; 8        ; SRAM_DQ[0]                               ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; AA7      ; 103        ; 8        ; SRAM_DQ[2]                               ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; AA8      ; 111        ; 8        ; SRAM_DQ[4]                               ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; AA9      ; 114        ; 8        ; SRAM_DQ[6]                               ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; AA10     ; 120        ; 8        ; SRAM_WE_N                                ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; AA11     ; 122        ; 8        ; SRAM_ADDR[6]                             ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; AA12     ; 128        ; 7        ; FL_ADDR[15]                              ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; AA13     ; 130        ; 7        ; FL_ADDR[13]                              ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; AA14     ; 136        ; 7        ; FL_ADDR[1]                               ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; AA15     ; 138        ; 7        ; FL_OE_N                                  ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; AA16     ; 140        ; 7        ; FL_DQ[1]                                 ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; AA17     ; 144        ; 7        ; FL_DQ[3]                                 ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; AA18     ; 153        ; 7        ; FL_DQ[5]                                 ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; AA19     ; 162        ; 7        ; FL_DQ[7]                                 ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; AA20     ; 164        ; 7        ; FL_ADDR[17]                              ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; AA21     ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; AA22     ;            ; 6        ; VCCIO6                                   ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
; AB1      ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; AB2      ;            ; 8        ; VCCIO8                                   ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
; AB3      ; 83         ; 8        ; SRAM_ADDR[1]                             ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; AB4      ; 84         ; 8        ; SRAM_ADDR[3]                             ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; AB5      ; 88         ; 8        ; SRAM_CE_N                                ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; AB6      ; 96         ; 8        ; SRAM_DQ[1]                               ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; AB7      ; 102        ; 8        ; SRAM_DQ[3]                               ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; AB8      ; 110        ; 8        ; SRAM_DQ[5]                               ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; AB9      ; 113        ; 8        ; SRAM_DQ[7]                               ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; AB10     ; 119        ; 8        ; SRAM_ADDR[5]                             ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; AB11     ; 121        ; 8        ; SRAM_ADDR[7]                             ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; AB12     ; 127        ; 7        ; FL_ADDR[16]                              ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; AB13     ; 129        ; 7        ; FL_ADDR[14]                              ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; AB14     ; 135        ; 7        ; FL_ADDR[12]                              ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; AB15     ; 137        ; 7        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
; AB16     ; 139        ; 7        ; FL_DQ[0]                                 ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; AB17     ; 143        ; 7        ; FL_DQ[2]                                 ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; AB18     ; 152        ; 7        ; FL_DQ[4]                                 ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; AB19     ; 161        ; 7        ; FL_DQ[6]                                 ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; AB20     ; 163        ; 7        ; FL_ADDR[0]                               ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; AB21     ;            ; 7        ; VCCIO7                                   ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
; AB22     ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; B1       ;            ; 2        ; VCCIO2                                   ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
; B2       ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; B3       ; 326        ; 3        ; I2C_SDAT                                 ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; B4       ; 323        ; 3        ; AUD_XCK                                  ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; B5       ; 321        ; 3        ; AUD_DACDAT                               ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; B6       ; 319        ; 3        ; AUD_ADCDAT                               ; input  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; B7       ; 305        ; 3        ; VGA_R[3]                                 ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; B8       ; 303        ; 3        ; VGA_G[0]                                 ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; B9       ; 297        ; 3        ; VGA_G[2]                                 ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; B10      ; 292        ; 3        ; VGA_B[3]                                 ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; B11      ; 286        ; 3        ; VGA_VS                                   ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; B12      ; 282        ; 4        ; GND+                                     ;        ;              ;         ; Column I/O ;                 ; --       ; --           ;
; B13      ; 280        ; 4        ; GPIO_0[1]                                ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; B14      ; 278        ; 4        ; GPIO_0[3]                                ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; B15      ; 272        ; 4        ; GPIO_0[5]                                ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; B16      ; 270        ; 4        ; GPIO_0[7]                                ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; B17      ; 264        ; 4        ; GPIO_0[9]                                ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; B18      ; 250        ; 4        ; GPIO_0[11]                               ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; B19      ; 248        ; 4        ; GPIO_0[13]                               ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; B20      ; 246        ; 4        ; GPIO_0[15]                               ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; B21      ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; B22      ;            ; 5        ; VCCIO5                                   ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
; C1       ; 8          ; 2        ; HEX2[3]                                  ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; C2       ; 9          ; 2        ; HEX2[2]                                  ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; C3       ; 1          ; 2        ; ~nCSO~ / RESERVED_INPUT_WITH_WEAK_PULLUP ; input  ; 3.3-V LVTTL  ;         ; Row I/O    ; N               ; no       ; On           ;
; C4       ; 0          ; 2        ; ~ASDO~ / RESERVED_INPUT_WITH_WEAK_PULLUP ; input  ; 3.3-V LVTTL  ;         ; Row I/O    ; N               ; no       ; On           ;
; C5       ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; C6       ;            ; 3        ; VCCIO3                                   ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
; C7       ; 315        ; 3        ; TCK                                      ; input  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; C8       ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; C9       ; 310        ; 3        ; VGA_R[1]                                 ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; C10      ; 296        ; 3        ; VGA_G[1]                                 ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; C11      ;            ; 3        ; VCCIO3                                   ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
; C12      ;            ; 4        ; VCCIO4                                   ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
; C13      ; 275        ; 4        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; --       ; --           ;
; C14      ; 260        ; 4        ; GPIO_1[10]                               ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; C15      ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; C16      ; 254        ; 4        ; CLOCK_27                                 ; input  ; 3.3-V LVTTL  ;         ; Column I/O ; N               ; no       ; Off          ;
; C17      ; 245        ; 4        ; GPIO_1[14]                               ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; C18      ; 244        ; 4        ; GPIO_1[15]                               ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; C19      ; 238        ; 5        ; GPIO_1[16]                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; C20      ; 239        ; 5        ; GPIO_1[17]                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; C21      ; 236        ; 5        ; GPIO_0[16]                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; C22      ; 237        ; 5        ; GPIO_0[17]                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; D1       ; 14         ; 2        ; HEX1[6]                                  ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; D2       ; 15         ; 2        ; HEX1[5]                                  ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; D3       ; 2          ; 2        ; HEX2[6]                                  ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; D4       ; 3          ; 2        ; HEX3[6]                                  ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; D5       ; 4          ; 2        ; HEX3[1]                                  ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; D6       ; 5          ; 2        ; HEX3[2]                                  ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; D7       ; 311        ; 3        ; TDO                                      ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; D8       ; 309        ; 3        ; TCS                                      ; input  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; D9       ; 302        ; 3        ; VGA_R[0]                                 ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; D10      ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; D11      ; 289        ; 3        ; VGA_B[1]                                 ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; D12      ; 284        ; 3        ; GND+                                     ;        ;              ;         ; Column I/O ;                 ; --       ; --           ;
; D13      ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; D14      ; 267        ; 4        ; GPIO_1[11]                               ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; D15      ; 259        ; 4        ; GPIO_1[12]                               ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; D16      ; 255        ; 4        ; GPIO_1[13]                               ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; D17      ;            ; 4        ; VCCIO4                                   ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
; D18      ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; D19      ; 240        ; 5        ; GPIO_1[18]                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; D20      ; 241        ; 5        ; GPIO_1[19]                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; D21      ; 229        ; 5        ; GPIO_0[18]                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; D22      ; 230        ; 5        ; GPIO_0[19]                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; E1       ; 20         ; 2        ; HEX1[0]                                  ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; E2       ; 21         ; 2        ; HEX0[6]                                  ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; E3       ; 6          ; 2        ; HEX2[4]                                  ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; E4       ; 7          ; 2        ; HEX2[5]                                  ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; E5       ;            ;          ; VCCD_PLL3                                ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
; E6       ;            ;          ; VCCA_PLL3                                ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
; E7       ; 316        ; 3        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
; E8       ; 308        ; 3        ; TDI                                      ; input  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; E9       ; 301        ; 3        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
; E10      ;            ; 3        ; VCCIO3                                   ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
; E11      ; 288        ; 3        ; IRDA_RXD                                 ; input  ; 3.3-V LVTTL  ;         ; Column I/O ; N               ; no       ; Off          ;
; E12      ; 285        ; 3        ; GND+                                     ;        ;              ;         ; Column I/O ;                 ; --       ; --           ;
; E13      ;            ; 4        ; VCCIO4                                   ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
; E14      ; 266        ; 4        ; GPIO_1[4]                                ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; E15      ; 256        ; 4        ; GPIO_1[5]                                ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; E16      ;            ;          ; GNDA_PLL2                                ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; E17      ;            ;          ; GND_PLL2                                 ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; E18      ; 243        ; 5        ; GPIO_1[23]                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; E19      ; 242        ; 5        ; GPIO_1[22]                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; E20      ; 234        ; 5        ; GPIO_1[20]                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; E21      ; 227        ; 5        ; GPIO_0[20]                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; E22      ; 228        ; 5        ; GPIO_0[21]                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; F1       ; 22         ; 2        ; HEX0[5]                                  ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; F2       ; 23         ; 2        ; HEX0[4]                                  ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; F3       ; 13         ; 2        ; HEX3[5]                                  ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; F4       ; 10         ; 2        ; HEX3[0]                                  ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; F5       ;            ;          ; GND_PLL3                                 ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; F6       ;            ;          ; GND_PLL3                                 ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; F7       ;            ;          ; GNDA_PLL3                                ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; F8       ; 312        ; 3        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
; F9       ; 307        ; 3        ; SD_DAT                                   ; input  ; 3.3-V LVTTL  ;         ; Column I/O ; N               ; no       ; Off          ;
; F10      ; 295        ; 3        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
; F11      ; 294        ; 3        ; SD_DAT3                                  ; output ; 3.3-V LVTTL  ;         ; Column I/O ; N               ; no       ; Off          ;
; F12      ; 276        ; 4        ; GPIO_1[8]                                ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; F13      ; 269        ; 4        ; GPIO_1[9]                                ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; F14      ; 268        ; 4        ; UART_RXD                                 ; input  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; F15      ; 262        ; 4        ; GPIO_1[6]                                ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; F16      ;            ;          ; VCCA_PLL2                                ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
; F17      ;            ;          ; VCCD_PLL2                                ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
; F18      ;            ;          ; GND_PLL2                                 ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; F19      ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; F20      ; 235        ; 5        ; GPIO_1[21]                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; F21      ; 223        ; 5        ; GPIO_0[22]                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; F22      ; 224        ; 5        ; GPIO_0[23]                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; G1       ;            ;          ; NC                                       ;        ;              ;         ; --         ;                 ; --       ; --           ;
; G2       ;            ;          ; NC                                       ;        ;              ;         ; --         ;                 ; --       ; --           ;
; G3       ; 16         ; 2        ; HEX1[4]                                  ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; G4       ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; G5       ; 12         ; 2        ; HEX2[0]                                  ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; G6       ; 11         ; 2        ; HEX2[1]                                  ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; G7       ; 317        ; 3        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
; G8       ; 313        ; 3        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
; G9       ;            ; 3        ; VCCIO3                                   ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
; G10      ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; G11      ; 291        ; 3        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
; G12      ; 277        ; 4        ; UART_TXD                                 ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; G13      ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; G14      ;            ; 4        ; VCCIO4                                   ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
; G15      ; 261        ; 4        ; GPIO_1[3]                                ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; G16      ; 252        ; 4        ; GPIO_1[7]                                ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; G17      ; 231        ; 5        ; GPIO_1[26]                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; G18      ; 232        ; 5        ; GPIO_1[25]                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; G19      ;            ; 5        ; VCCIO5                                   ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
; G20      ; 233        ; 5        ; GPIO_1[24]                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; G21      ; 221        ; 5        ; GPIO_0[24]                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; G22      ; 222        ; 5        ; GPIO_0[25]                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; H1       ; 24         ; 2        ; HEX0[3]                                  ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; H2       ; 25         ; 2        ; HEX0[2]                                  ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; H3       ; 27         ; 2        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; --       ; --           ;
; H4       ; 17         ; 2        ; HEX1[3]                                  ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; H5       ; 18         ; 2        ; HEX1[2]                                  ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; H6       ; 19         ; 2        ; HEX1[1]                                  ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; H7       ; 318        ; 3        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
; H8       ; 314        ; 3        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
; H9       ; 300        ; 3        ; FL_CE_N                                  ; output ; 3.3-V LVTTL  ;         ; Column I/O ; N               ; no       ; Off          ;
; H10      ; 299        ; 3        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
; H11      ; 290        ; 3        ; SD_CMD                                   ; output ; 3.3-V LVTTL  ;         ; Column I/O ; N               ; no       ; Off          ;
; H12      ; 274        ; 4        ; GPIO_1[0]                                ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; H13      ; 263        ; 4        ; GPIO_1[1]                                ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; H14      ; 257        ; 4        ; GPIO_1[2]                                ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; H15      ; 253        ; 4        ; PS2_CLK                                  ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; H16      ; 219        ; 5        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
; H17      ; 226        ; 5        ; GPIO_1[27]                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; H18      ; 225        ; 5        ; GPIO_1[29]                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; H19      ; 214        ; 5        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
; H20      ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; H21      ;            ;          ; NC                                       ;        ;              ;         ; --         ;                 ; --       ; --           ;
; H22      ;            ;          ; NC                                       ;        ;              ;         ; --         ;                 ; --       ; --           ;
; J1       ; 29         ; 2        ; HEX0[1]                                  ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; J2       ; 30         ; 2        ; HEX0[0]                                  ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; J3       ;            ;          ; NC                                       ;        ;              ;         ; --         ;                 ; --       ; --           ;
; J4       ; 28         ; 2        ; HEX3[3]                                  ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; J5       ;            ;          ; NC                                       ;        ;              ;         ; --         ;                 ; --       ; --           ;
; J6       ;            ;          ; NC                                       ;        ;              ;         ; --         ;                 ; --       ; --           ;
; J7       ;            ; 2        ; VCCIO2                                   ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
; J8       ;            ;          ; NC                                       ;        ;              ;         ; --         ;                 ; --       ; --           ;
; J9       ;            ;          ; NC                                       ;        ;              ;         ; --         ;                 ; --       ; --           ;
; J10      ;            ;          ; VCCINT                                   ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
; J11      ;            ;          ; VCCINT                                   ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
; J12      ;            ;          ; VCCINT                                   ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
; J13      ;            ;          ; VCCINT                                   ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
; J14      ; 258        ; 4        ; PS2_DAT                                  ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; J15      ; 220        ; 5        ; GPIO_1[28]                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; J16      ;            ; 5        ; VCCIO5                                   ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
; J17      ; 218        ; 5        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
; J18      ; 217        ; 5        ; GPIO_0[32]                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; J19      ; 216        ; 5        ; GPIO_0[30]                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; J20      ; 213        ; 5        ; GPIO_0[31]                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; J21      ; 211        ; 5        ; GPIO_0[26]                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; J22      ; 212        ; 5        ; GPIO_0[27]                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; K1       ; 37         ; 2        ; ^nCE                                     ;        ;              ;         ; --         ;                 ; --       ; --           ;
; K2       ; 32         ; 2        ; #TCK                                     ; input  ;              ;         ; --         ;                 ; --       ; --           ;
; K3       ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; K4       ; 36         ; 2        ; ^DATA0                                   ; input  ;              ;         ; --         ;                 ; --       ; --           ;
; K5       ; 31         ; 2        ; #TDI                                     ; input  ;              ;         ; --         ;                 ; --       ; --           ;
; K6       ; 33         ; 2        ; #TMS                                     ; input  ;              ;         ; --         ;                 ; --       ; --           ;
; K7       ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; K8       ;            ;          ; NC                                       ;        ;              ;         ; --         ;                 ; --       ; --           ;
; K9       ;            ;          ; VCCINT                                   ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
; K10      ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; K11      ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; K12      ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; K13      ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; K14      ;            ;          ; VCCINT                                   ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
; K15      ;            ;          ; NC                                       ;        ;              ;         ; --         ;                 ; --       ; --           ;
; K16      ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; K17      ;            ;          ; NC                                       ;        ;              ;         ; --         ;                 ; --       ; --           ;
; K18      ;            ;          ; NC                                       ;        ;              ;         ; --         ;                 ; --       ; --           ;
; K19      ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; K20      ; 215        ; 5        ; GPIO_0[33]                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; K21      ; 209        ; 5        ; GPIO_0[28]                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; K22      ; 210        ; 5        ; GPIO_0[29]                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; L1       ; 38         ; 2        ; CLOCK_50                                 ; input  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; L2       ; 39         ; 2        ; SW[9]                                    ; input  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; L3       ;            ; 2        ; VCCIO2                                   ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
; L4       ; 40         ; 2        ; ^nCONFIG                                 ;        ;              ;         ; --         ;                 ; --       ; --           ;
; L5       ; 34         ; 2        ; #TDO                                     ; output ;              ;         ; --         ;                 ; --       ; --           ;
; L6       ; 35         ; 2        ; ^DCLK                                    ;        ;              ;         ; --         ;                 ; --       ; --           ;
; L7       ;            ;          ; NC                                       ;        ;              ;         ; --         ;                 ; --       ; --           ;
; L8       ; 26         ; 2        ; HEX3[4]                                  ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; L9       ;            ;          ; VCCINT                                   ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
; L10      ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; L11      ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; L12      ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; L13      ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; L14      ;            ;          ; VCCINT                                   ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
; L15      ;            ;          ; NC                                       ;        ;              ;         ; --         ;                 ; --       ; --           ;
; L16      ;            ;          ; NC                                       ;        ;              ;         ; --         ;                 ; --       ; --           ;
; L17      ;            ;          ; NC                                       ;        ;              ;         ; --         ;                 ; --       ; --           ;
; L18      ; 208        ; 5        ; GPIO_0[35]                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; L19      ; 207        ; 5        ; GPIO_0[34]                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; L20      ;            ; 5        ; VCCIO5                                   ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
; L21      ; 205        ; 5        ; SW[1]                                    ; input  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; L22      ; 206        ; 5        ; SW[0]                                    ; input  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; M1       ; 41         ; 1        ; SW[8]                                    ; input  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; M2       ; 42         ; 1        ; SW[7]                                    ; input  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; M3       ;            ; 1        ; VCCIO1                                   ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
; M4       ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; M5       ; 43         ; 1        ; DRAM_UDQM                                ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; M6       ; 44         ; 1        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
; M7       ;            ;          ; NC                                       ;        ;              ;         ; --         ;                 ; --       ; --           ;
; M8       ;            ;          ; NC                                       ;        ;              ;         ; --         ;                 ; --       ; --           ;
; M9       ;            ;          ; VCCINT                                   ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
; M10      ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; M11      ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; M12      ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; M13      ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; M14      ;            ;          ; VCCINT                                   ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
; M15      ;            ;          ; NC                                       ;        ;              ;         ; --         ;                 ; --       ; --           ;
; M16      ;            ;          ; NC                                       ;        ;              ;         ; --         ;                 ; --       ; --           ;
; M17      ; 198        ; 6        ; ^MSEL0                                   ;        ;              ;         ; --         ;                 ; --       ; --           ;
; M18      ; 202        ; 6        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
; M19      ; 201        ; 6        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
; M20      ;            ; 6        ; VCCIO6                                   ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
; M21      ; 203        ; 6        ; EXT_CLOCK                                ; input  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; M22      ; 204        ; 6        ; SW[2]                                    ; input  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; N1       ; 45         ; 1        ; DRAM_DQ[8]                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; N2       ; 46         ; 1        ; DRAM_DQ[9]                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; N3       ; 51         ; 1        ; DRAM_CKE                                 ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; N4       ; 52         ; 1        ; DRAM_ADDR[9]                             ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; N5       ;            ;          ; NC                                       ;        ;              ;         ; --         ;                 ; --       ; --           ;
; N6       ; 49         ; 1        ; DRAM_ADDR[11]                            ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; N7       ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; N8       ;            ;          ; NC                                       ;        ;              ;         ; --         ;                 ; --       ; --           ;
; N9       ;            ;          ; VCCINT                                   ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
; N10      ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; N11      ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; N12      ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; N13      ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; N14      ;            ;          ; VCCINT                                   ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
; N15      ; 194        ; 6        ; GPIO_1[33]                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; N16      ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; N17      ; 197        ; 6        ; ^MSEL1                                   ;        ;              ;         ; --         ;                 ; --       ; --           ;
; N18      ; 196        ; 6        ; ^CONF_DONE                               ;        ;              ;         ; --         ;                 ; --       ; --           ;
; N19      ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; N20      ; 195        ; 6        ; ^nSTATUS                                 ;        ;              ;         ; --         ;                 ; --       ; --           ;
; N21      ; 199        ; 6        ; GPIO_1[31]                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; N22      ; 200        ; 6        ; GPIO_1[30]                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; P1       ; 47         ; 1        ; DRAM_DQ[10]                              ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; P2       ; 48         ; 1        ; DRAM_DQ[11]                              ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; P3       ; 50         ; 1        ; DRAM_ADDR[8]                             ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; P4       ;            ;          ; NC                                       ;        ;              ;         ; --         ;                 ; --       ; --           ;
; P5       ; 55         ; 1        ; DRAM_ADDR[7]                             ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; P6       ; 56         ; 1        ; DRAM_ADDR[6]                             ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; P7       ;            ; 1        ; VCCIO1                                   ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
; P8       ; 95         ; 8        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
; P9       ; 94         ; 8        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
; P10      ;            ;          ; VCCINT                                   ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
; P11      ;            ;          ; VCCINT                                   ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
; P12      ;            ;          ; VCCINT                                   ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
; P13      ;            ;          ; VCCINT                                   ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
; P14      ;            ;          ; NC                                       ;        ;              ;         ; --         ;                 ; --       ; --           ;
; P15      ; 193        ; 6        ; GPIO_1[32]                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; P16      ;            ; 6        ; VCCIO6                                   ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
; P17      ; 186        ; 6        ; GPIO_1[34]                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; P18      ; 187        ; 6        ; GPIO_1[35]                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; P19      ;            ;          ; NC                                       ;        ;              ;         ; --         ;                 ; --       ; --           ;
; P20      ;            ;          ; NC                                       ;        ;              ;         ; --         ;                 ; --       ; --           ;
; P21      ;            ;          ; NC                                       ;        ;              ;         ; --         ;                 ; --       ; --           ;
; P22      ;            ;          ; NC                                       ;        ;              ;         ; --         ;                 ; --       ; --           ;
; R1       ; 57         ; 1        ; DRAM_DQ[12]                              ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; R2       ; 58         ; 1        ; DRAM_DQ[13]                              ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; R3       ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; R4       ;            ;          ; NC                                       ;        ;              ;         ; --         ;                 ; --       ; --           ;
; R5       ; 63         ; 1        ; DRAM_ADDR[5]                             ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; R6       ; 64         ; 1        ; DRAM_ADDR[4]                             ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; R7       ; 54         ; 1        ; DRAM_LDQM                                ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; R8       ; 53         ; 1        ; DRAM_WE_N                                ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; R9       ; 109        ; 8        ; SRAM_DQ[12]                              ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; R10      ; 108        ; 8        ; SRAM_ADDR[14]                            ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; R11      ; 116        ; 8        ; SRAM_ADDR[10]                            ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; R12      ; 134        ; 7        ; FL_ADDR[10]                              ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; R13      ; 145        ; 7        ; FL_ADDR[21]                              ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; R14      ; 150        ; 7        ; FL_ADDR[8]                               ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; R15      ; 151        ; 7        ; FL_ADDR[3]                               ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; R16      ; 155        ; 7        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
; R17      ; 177        ; 6        ; LEDR[9]                                  ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; R18      ; 184        ; 6        ; LEDR[8]                                  ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; R19      ; 185        ; 6        ; LEDR[1]                                  ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; R20      ; 192        ; 6        ; LEDR[0]                                  ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; R21      ; 190        ; 6        ; KEY[1]                                   ; input  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; R22      ; 191        ; 6        ; KEY[0]                                   ; input  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; T1       ; 59         ; 1        ; DRAM_DQ[14]                              ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; T2       ; 60         ; 1        ; DRAM_DQ[15]                              ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; T3       ; 69         ; 1        ; DRAM_CAS_N                               ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; T4       ;            ; 1        ; VCCIO1                                   ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
; T5       ; 67         ; 1        ; DRAM_RAS_N                               ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; T6       ; 68         ; 1        ; DRAM_CS_N                                ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; T7       ; 91         ; 8        ; SRAM_ADDR[15]                            ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; T8       ; 90         ; 8        ; SRAM_OE_N                                ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; T9       ;            ; 8        ; VCCIO8                                   ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
; T10      ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; T11      ; 115        ; 8        ; SRAM_ADDR[11]                            ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; T12      ; 131        ; 7        ; FL_ADDR[11]                              ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; T13      ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; T14      ;            ; 7        ; VCCIO7                                   ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
; T15      ; 147        ; 7        ; FL_ADDR[4]                               ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; T16      ; 156        ; 7        ; SD_CLK                                   ; output ; 3.3-V LVTTL  ;         ; Column I/O ; N               ; no       ; Off          ;
; T17      ;            ;          ; GND_PLL4                                 ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; T18      ; 171        ; 6        ; LEDR[4]                                  ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; T19      ;            ; 6        ; VCCIO6                                   ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
; T20      ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; T21      ; 188        ; 6        ; KEY[3]                                   ; input  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; T22      ; 189        ; 6        ; KEY[2]                                   ; input  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; U1       ; 61         ; 1        ; DRAM_DQ[0]                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; U2       ; 62         ; 1        ; DRAM_DQ[1]                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; U3       ; 70         ; 1        ; DRAM_BA_0                                ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; U4       ; 80         ; 1        ; DRAM_CLK                                 ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; U5       ;            ;          ; GND_PLL1                                 ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; U6       ;            ;          ; VCCD_PLL1                                ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
; U7       ;            ;          ; VCCA_PLL1                                ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
; U8       ; 92         ; 8        ; SRAM_DQ[15]                              ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; U9       ; 106        ; 8        ; SRAM_DQ[11]                              ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; U10      ; 107        ; 8        ; SRAM_ADDR[13]                            ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; U11      ; 123        ; 8        ; SW[6]                                    ; input  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; U12      ; 124        ; 8        ; SW[5]                                    ; input  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; U13      ; 132        ; 7        ; FL_ADDR[20]                              ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; U14      ; 146        ; 7        ; FL_ADDR[18]                              ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; U15      ; 157        ; 7        ; FL_ADDR[5]                               ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; U16      ;            ;          ; VCCA_PLL4                                ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
; U17      ;            ;          ; VCCD_PLL4                                ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
; U18      ; 170        ; 6        ; LEDR[7]                                  ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; U19      ; 172        ; 6        ; LEDR[2]                                  ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; U20      ; 176        ; 6        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; --       ; --           ;
; U21      ; 182        ; 6        ; LEDG[1]                                  ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; U22      ; 183        ; 6        ; LEDG[0]                                  ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; V1       ; 65         ; 1        ; DRAM_DQ[2]                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; V2       ; 66         ; 1        ; DRAM_DQ[3]                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; V3       ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; V4       ; 81         ; 1        ; DRAM_BA_1                                ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; V5       ;            ;          ; GND_PLL1                                 ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; V6       ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; V7       ;            ;          ; GNDA_PLL1                                ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; V8       ; 98         ; 8        ; SRAM_DQ[14]                              ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; V9       ; 101        ; 8        ; SRAM_DQ[10]                              ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; V10      ;            ; 8        ; VCCIO8                                   ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
; V11      ; 118        ; 8        ; SRAM_ADDR[8]                             ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; V12      ; 126        ; 7        ; SW[3]                                    ; input  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; V13      ;            ; 7        ; VCCIO7                                   ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
; V14      ; 142        ; 7        ; FL_ADDR[19]                              ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; V15      ; 158        ; 7        ; FL_ADDR[6]                               ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; V16      ;            ;          ; GNDA_PLL4                                ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; V17      ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; V18      ;            ;          ; GND_PLL4                                 ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; V19      ; 166        ; 6        ; LEDR[5]                                  ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; V20      ; 173        ; 6        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
; V21      ; 180        ; 6        ; LEDG[3]                                  ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; V22      ; 181        ; 6        ; LEDG[2]                                  ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; W1       ; 71         ; 1        ; DRAM_DQ[4]                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; W2       ; 72         ; 1        ; DRAM_DQ[5]                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; W3       ; 75         ; 1        ; DRAM_ADDR[10]                            ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; W4       ; 76         ; 1        ; DRAM_ADDR[0]                             ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; W5       ; 79         ; 1        ; DRAM_ADDR[1]                             ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; W6       ;            ; 8        ; VCCIO8                                   ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
; W7       ; 99         ; 8        ; SRAM_UB_N                                ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; W8       ; 100        ; 8        ; SRAM_DQ[13]                              ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; W9       ; 105        ; 8        ; SRAM_DQ[9]                               ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; W10      ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; W11      ; 117        ; 8        ; SRAM_ADDR[9]                             ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; W12      ; 125        ; 7        ; SW[4]                                    ; input  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; W13      ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; W14      ; 141        ; 7        ; FL_RST_N                                 ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; W15      ; 149        ; 7        ; FL_ADDR[7]                               ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; W16      ; 160        ; 7        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
; W17      ;            ; 7        ; VCCIO7                                   ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
; W18      ;            ;          ; NC                                       ;        ;              ;         ; --         ;                 ; --       ; --           ;
; W19      ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; W20      ; 167        ; 6        ; ~LVDS91p/nCEO~                           ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; N               ; no       ; Off          ;
; W21      ; 174        ; 6        ; LEDG[5]                                  ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; W22      ; 175        ; 6        ; LEDG[4]                                  ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; Y1       ; 73         ; 1        ; DRAM_DQ[6]                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; Y2       ; 74         ; 1        ; DRAM_DQ[7]                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; Y3       ; 77         ; 1        ; DRAM_ADDR[2]                             ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; Y4       ; 78         ; 1        ; DRAM_ADDR[3]                             ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; Y5       ; 86         ; 8        ; SRAM_ADDR[17]                            ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; Y6       ; 87         ; 8        ; SRAM_ADDR[16]                            ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; Y7       ; 93         ; 8        ; SRAM_LB_N                                ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; Y8       ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; Y9       ; 104        ; 8        ; SRAM_DQ[8]                               ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; Y10      ; 112        ; 8        ; SRAM_ADDR[12]                            ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; Y11      ;            ; 8        ; VCCIO8                                   ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
; Y12      ;            ; 7        ; VCCIO7                                   ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
; Y13      ; 133        ; 7        ; FL_ADDR[9]                               ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; Y14      ; 148        ; 7        ; FL_WE_N                                  ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; Y15      ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; Y16      ; 154        ; 7        ; FL_ADDR[2]                               ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; Y17      ; 159        ; 7        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
; Y18      ; 165        ; 6        ; LEDR[6]                                  ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; Y19      ; 168        ; 6        ; LEDR[3]                                  ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; Y20      ; 169        ; 6        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
; Y21      ; 178        ; 6        ; LEDG[7]                                  ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; Y22      ; 179        ; 6        ; LEDG[6]                                  ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
+----------+------------+----------+------------------------------------------+--------+--------------+---------+------------+-----------------+----------+--------------+
Note: Pin directions (input, output or bidir) are based on device operating in user mode.


+-------------------------------------------------------------------------------+
; Output Pin Default Load For Reported TCO                                      ;
+----------------------------------+-------+------------------------------------+
; I/O Standard                     ; Load  ; Termination Resistance             ;
+----------------------------------+-------+------------------------------------+
; 3.3-V LVTTL                      ; 0 pF  ; Not Available                      ;
; 3.3-V LVCMOS                     ; 0 pF  ; Not Available                      ;
; 2.5 V                            ; 0 pF  ; Not Available                      ;
; 1.8 V                            ; 0 pF  ; Not Available                      ;
; 1.5 V                            ; 0 pF  ; Not Available                      ;
; 3.3-V PCI                        ; 10 pF ; 25 Ohm (Parallel)                  ;
; 3.3-V PCI-X                      ; 10 pF ; 25 Ohm (Parallel)                  ;
; SSTL-2 Class I                   ; 0 pF  ; 50 Ohm (Parallel), 25 Ohm (Serial) ;
; SSTL-2 Class II                  ; 0 pF  ; 25 Ohm (Parallel), 25 Ohm (Serial) ;
; SSTL-18 Class I                  ; 0 pF  ; 50 Ohm (Parallel), 25 Ohm (Serial) ;
; SSTL-18 Class II                 ; 0 pF  ; 25 Ohm (Parallel), 25 Ohm (Serial) ;
; 1.5-V HSTL Class I               ; 0 pF  ; 50 Ohm (Parallel)                  ;
; 1.5-V HSTL Class II              ; 0 pF  ; 25 Ohm (Parallel)                  ;
; 1.8-V HSTL Class I               ; 0 pF  ; 50 Ohm (Parallel)                  ;
; 1.8-V HSTL Class II              ; 0 pF  ; 25 Ohm (Parallel)                  ;
; Differential SSTL-2              ; 0 pF  ; (See SSTL-2)                       ;
; Differential 2.5-V SSTL Class II ; 0 pF  ; (See SSTL-2 Class II)              ;
; Differential 1.8-V SSTL Class I  ; 0 pF  ; (See 1.8-V SSTL Class I)           ;
; Differential 1.8-V SSTL Class II ; 0 pF  ; (See 1.8-V SSTL Class II)          ;
; Differential 1.5-V HSTL Class I  ; 0 pF  ; (See 1.5-V HSTL Class I)           ;
; Differential 1.5-V HSTL Class II ; 0 pF  ; (See 1.5-V HSTL Class II)          ;
; Differential 1.8-V HSTL Class I  ; 0 pF  ; (See 1.8-V HSTL Class I)           ;
; Differential 1.8-V HSTL Class II ; 0 pF  ; (See 1.8-V HSTL Class II)          ;
; LVDS                             ; 0 pF  ; 100 Ohm (Differential)             ;
; mini-LVDS                        ; 0 pF  ; 100 Ohm (Differential)             ;
; RSDS                             ; 0 pF  ; 100 Ohm (Differential)             ;
; Simple RSDS                      ; 0 pF  ; Not Available                      ;
; Differential LVPECL              ; 0 pF  ; 100 Ohm (Differential)             ;
+----------------------------------+-------+------------------------------------+
Note: User assignments will override these defaults. The user specified values are listed in the Output Pins and Bidir Pins tables.


+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Fitter Resource Utilization by Entity                                                                                                                                                                                                                                                                                                                                                           ;
+-------------------------------------------+-------------+---------------------------+---------------+-------------+------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+--------------------------------------------------------------------------------------------------------------------------------------+--------------+
; Compilation Hierarchy Node                ; Logic Cells ; Dedicated Logic Registers ; I/O Registers ; Memory Bits ; M4Ks ; DSP Elements ; DSP 9x9 ; DSP 18x18 ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; Full Hierarchy Name                                                                                                                  ; Library Name ;
+-------------------------------------------+-------------+---------------------------+---------------+-------------+------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+--------------------------------------------------------------------------------------------------------------------------------------+--------------+
; |Z80SOC                                   ; 2544 (140)  ; 535 (65)                  ; 0 (0)         ; 196600      ; 48   ; 0            ; 0       ; 0         ; 281  ; 0            ; 2009 (75)    ; 79 (24)           ; 456 (35)         ; |Z80SOC                                                                                                                              ; work         ;
;    |T80se:z80_inst|                       ; 2062 (18)   ; 345 (12)                  ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 1717 (6)     ; 17 (0)            ; 328 (12)         ; |Z80SOC|T80se:z80_inst                                                                                                               ; work         ;
;       |T80:u0|                            ; 2044 (838)  ; 333 (205)                 ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 1711 (650)   ; 17 (8)            ; 316 (188)        ; |Z80SOC|T80se:z80_inst|T80:u0                                                                                                        ; work         ;
;          |T80_ALU:alu|                    ; 458 (458)   ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 458 (458)    ; 0 (0)             ; 0 (0)            ; |Z80SOC|T80se:z80_inst|T80:u0|T80_ALU:alu                                                                                            ; work         ;
;          |T80_MCode:mcode|                ; 475 (475)   ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 470 (470)    ; 0 (0)             ; 5 (5)            ; |Z80SOC|T80se:z80_inst|T80:u0|T80_MCode:mcode                                                                                        ; work         ;
;          |T80_Reg:Regs|                   ; 277 (277)   ; 128 (128)                 ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 133 (133)    ; 9 (9)             ; 135 (135)        ; |Z80SOC|T80se:z80_inst|T80:u0|T80_Reg:Regs                                                                                           ; work         ;
;    |charram:cram|                         ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 16384       ; 4    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |Z80SOC|charram:cram                                                                                                                 ; work         ;
;       |altsyncram:altsyncram_component|   ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 16384       ; 4    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |Z80SOC|charram:cram|altsyncram:altsyncram_component                                                                                 ; work         ;
;          |altsyncram_h1o1:auto_generated| ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 16384       ; 4    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |Z80SOC|charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated                                                  ; work         ;
;             |altsyncram_36o1:altsyncram1| ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 16384       ; 4    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |Z80SOC|charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1                      ; work         ;
;    |clk_div:clkdiv_inst|                  ; 37 (37)     ; 36 (36)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 1 (1)        ; 3 (3)             ; 33 (33)          ; |Z80SOC|clk_div:clkdiv_inst                                                                                                          ; work         ;
;    |decoder_7seg:DISPHEX0|                ; 7 (7)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 3 (3)        ; 0 (0)             ; 4 (4)            ; |Z80SOC|decoder_7seg:DISPHEX0                                                                                                        ; work         ;
;    |decoder_7seg:DISPHEX1|                ; 7 (7)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 3 (3)        ; 0 (0)             ; 4 (4)            ; |Z80SOC|decoder_7seg:DISPHEX1                                                                                                        ; work         ;
;    |decoder_7seg:DISPHEX2|                ; 7 (7)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 3 (3)        ; 0 (0)             ; 4 (4)            ; |Z80SOC|decoder_7seg:DISPHEX2                                                                                                        ; work         ;
;    |decoder_7seg:DISPHEX3|                ; 7 (7)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 3 (3)        ; 0 (0)             ; 4 (4)            ; |Z80SOC|decoder_7seg:DISPHEX3                                                                                                        ; work         ;
;    |ps2kbd:ps2_kbd_inst|                  ; 165 (124)   ; 35 (2)                    ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 130 (122)    ; 19 (1)            ; 16 (6)           ; |Z80SOC|ps2kbd:ps2_kbd_inst                                                                                                          ; work         ;
;       |keyboard:kbd_inst|                 ; 41 (41)     ; 33 (33)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 8 (8)        ; 18 (18)           ; 15 (15)          ; |Z80SOC|ps2kbd:ps2_kbd_inst|keyboard:kbd_inst                                                                                        ; work         ;
;    |rom:rom_inst|                         ; 23 (0)      ; 4 (0)                     ; 0 (0)         ; 131072      ; 32   ; 0            ; 0       ; 0         ; 0    ; 0            ; 19 (0)       ; 3 (0)             ; 1 (0)            ; |Z80SOC|rom:rom_inst                                                                                                                 ; work         ;
;       |altsyncram:altsyncram_component|   ; 23 (0)      ; 4 (0)                     ; 0 (0)         ; 131072      ; 32   ; 0            ; 0       ; 0         ; 0    ; 0            ; 19 (0)       ; 3 (0)             ; 1 (0)            ; |Z80SOC|rom:rom_inst|altsyncram:altsyncram_component                                                                                 ; work         ;
;          |altsyncram_tr91:auto_generated| ; 23 (4)      ; 4 (4)                     ; 0 (0)         ; 131072      ; 32   ; 0            ; 0       ; 0         ; 0    ; 0            ; 19 (0)       ; 3 (3)             ; 1 (0)            ; |Z80SOC|rom:rom_inst|altsyncram:altsyncram_component|altsyncram_tr91:auto_generated                                                  ; work         ;
;             |decode_4oa:deep_decode|      ; 4 (4)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 4 (4)        ; 0 (0)             ; 0 (0)            ; |Z80SOC|rom:rom_inst|altsyncram:altsyncram_component|altsyncram_tr91:auto_generated|decode_4oa:deep_decode                           ; work         ;
;             |mux_kib:mux2|                ; 16 (16)     ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 15 (15)      ; 0 (0)             ; 1 (1)            ; |Z80SOC|rom:rom_inst|altsyncram:altsyncram_component|altsyncram_tr91:auto_generated|mux_kib:mux2                                     ; work         ;
;    |video:video_inst|                     ; 93 (18)     ; 49 (0)                    ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 43 (13)      ; 13 (0)            ; 37 (9)           ; |Z80SOC|video:video_inst                                                                                                             ; work         ;
;       |VGA_SYNC:vga_sync_inst|            ; 79 (79)     ; 49 (49)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 30 (30)      ; 13 (13)           ; 36 (36)          ; |Z80SOC|video:video_inst|VGA_SYNC:vga_sync_inst                                                                                      ; work         ;
;    |vram:vram_inst|                       ; 13 (0)      ; 1 (0)                     ; 0 (0)         ; 49144       ; 12   ; 0            ; 0       ; 0         ; 0    ; 0            ; 12 (0)       ; 0 (0)             ; 1 (0)            ; |Z80SOC|vram:vram_inst                                                                                                               ; work         ;
;       |altsyncram:altsyncram_component|   ; 13 (0)      ; 1 (0)                     ; 0 (0)         ; 49144       ; 12   ; 0            ; 0       ; 0         ; 0    ; 0            ; 12 (0)       ; 0 (0)             ; 1 (0)            ; |Z80SOC|vram:vram_inst|altsyncram:altsyncram_component                                                                               ; work         ;
;          |altsyncram_66l1:auto_generated| ; 13 (0)      ; 1 (0)                     ; 0 (0)         ; 49144       ; 12   ; 0            ; 0       ; 0         ; 0    ; 0            ; 12 (0)       ; 0 (0)             ; 1 (0)            ; |Z80SOC|vram:vram_inst|altsyncram:altsyncram_component|altsyncram_66l1:auto_generated                                                ; work         ;
;             |altsyncram_pal1:altsyncram1| ; 13 (1)      ; 1 (1)                     ; 0 (0)         ; 49144       ; 12   ; 0            ; 0       ; 0         ; 0    ; 0            ; 12 (0)       ; 0 (0)             ; 1 (1)            ; |Z80SOC|vram:vram_inst|altsyncram:altsyncram_component|altsyncram_66l1:auto_generated|altsyncram_pal1:altsyncram1                    ; work         ;
;                |decode_1oa:decode4|       ; 4 (4)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 4 (4)        ; 0 (0)             ; 0 (0)            ; |Z80SOC|vram:vram_inst|altsyncram:altsyncram_component|altsyncram_66l1:auto_generated|altsyncram_pal1:altsyncram1|decode_1oa:decode4 ; work         ;
;                |mux_hib:mux5|             ; 8 (8)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 8 (8)        ; 0 (0)             ; 0 (0)            ; |Z80SOC|vram:vram_inst|altsyncram:altsyncram_component|altsyncram_66l1:auto_generated|altsyncram_pal1:altsyncram1|mux_hib:mux5       ; work         ;
+-------------------------------------------+-------------+---------------------------+---------------+-------------+------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+--------------------------------------------------------------------------------------------------------------------------------------+--------------+
Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy.


+----------------------------------------------------------------------------------------+
; Delay Chain Summary                                                                    ;
+---------------+----------+---------------+---------------+-----------------------+-----+
; Name          ; Pin Type ; Pad to Core 0 ; Pad to Core 1 ; Pad to Input Register ; TCO ;
+---------------+----------+---------------+---------------+-----------------------+-----+
; UART_TXD      ; Output   ; --            ; --            ; --                    ; --  ;
; DRAM_DQ[0]    ; Bidir    ; (0) 171 ps    ; (0) 171 ps    ; --                    ; --  ;
; DRAM_DQ[1]    ; Bidir    ; (0) 171 ps    ; (0) 171 ps    ; --                    ; --  ;
; DRAM_DQ[2]    ; Bidir    ; (0) 171 ps    ; (0) 171 ps    ; --                    ; --  ;
; DRAM_DQ[3]    ; Bidir    ; (0) 171 ps    ; (0) 171 ps    ; --                    ; --  ;
; DRAM_DQ[4]    ; Bidir    ; (0) 171 ps    ; (0) 171 ps    ; --                    ; --  ;
; DRAM_DQ[5]    ; Bidir    ; (0) 171 ps    ; (0) 171 ps    ; --                    ; --  ;
; DRAM_DQ[6]    ; Bidir    ; (0) 171 ps    ; (0) 171 ps    ; --                    ; --  ;
; DRAM_DQ[7]    ; Bidir    ; (0) 171 ps    ; (0) 171 ps    ; --                    ; --  ;
; DRAM_DQ[8]    ; Bidir    ; (0) 171 ps    ; (0) 171 ps    ; --                    ; --  ;
; DRAM_DQ[9]    ; Bidir    ; (0) 171 ps    ; (0) 171 ps    ; --                    ; --  ;
; DRAM_DQ[10]   ; Bidir    ; (0) 171 ps    ; (0) 171 ps    ; --                    ; --  ;
; DRAM_DQ[11]   ; Bidir    ; (0) 171 ps    ; (0) 171 ps    ; --                    ; --  ;
; DRAM_DQ[12]   ; Bidir    ; (0) 171 ps    ; (0) 171 ps    ; --                    ; --  ;
; DRAM_DQ[13]   ; Bidir    ; (0) 171 ps    ; (0) 171 ps    ; --                    ; --  ;
; DRAM_DQ[14]   ; Bidir    ; (0) 171 ps    ; (0) 171 ps    ; --                    ; --  ;
; DRAM_DQ[15]   ; Bidir    ; (0) 171 ps    ; (0) 171 ps    ; --                    ; --  ;
; FL_DQ[0]      ; Bidir    ; (0) 170 ps    ; (0) 170 ps    ; --                    ; --  ;
; FL_DQ[1]      ; Bidir    ; (0) 170 ps    ; (0) 170 ps    ; --                    ; --  ;
; FL_DQ[2]      ; Bidir    ; (0) 170 ps    ; (0) 170 ps    ; --                    ; --  ;
; FL_DQ[3]      ; Bidir    ; (0) 170 ps    ; (0) 170 ps    ; --                    ; --  ;
; FL_DQ[4]      ; Bidir    ; (0) 170 ps    ; (0) 170 ps    ; --                    ; --  ;
; FL_DQ[5]      ; Bidir    ; (0) 170 ps    ; (0) 170 ps    ; --                    ; --  ;
; FL_DQ[6]      ; Bidir    ; (0) 170 ps    ; (0) 170 ps    ; --                    ; --  ;
; FL_DQ[7]      ; Bidir    ; (0) 170 ps    ; (0) 170 ps    ; --                    ; --  ;
; SRAM_DQ[0]    ; Bidir    ; (6) 2514 ps   ; (6) 2514 ps   ; --                    ; --  ;
; SRAM_DQ[1]    ; Bidir    ; (6) 2514 ps   ; (6) 2514 ps   ; --                    ; --  ;
; SRAM_DQ[2]    ; Bidir    ; (6) 2514 ps   ; (6) 2514 ps   ; --                    ; --  ;
; SRAM_DQ[3]    ; Bidir    ; (6) 2514 ps   ; (6) 2514 ps   ; --                    ; --  ;
; SRAM_DQ[4]    ; Bidir    ; (6) 2514 ps   ; (6) 2514 ps   ; --                    ; --  ;
; SRAM_DQ[5]    ; Bidir    ; (6) 2514 ps   ; (6) 2514 ps   ; --                    ; --  ;
; SRAM_DQ[6]    ; Bidir    ; (6) 2514 ps   ; (6) 2514 ps   ; --                    ; --  ;
; SRAM_DQ[7]    ; Bidir    ; (6) 2514 ps   ; (6) 2514 ps   ; --                    ; --  ;
; SRAM_DQ[8]    ; Bidir    ; (0) 170 ps    ; (0) 170 ps    ; --                    ; --  ;
; SRAM_DQ[9]    ; Bidir    ; (0) 170 ps    ; (0) 170 ps    ; --                    ; --  ;
; SRAM_DQ[10]   ; Bidir    ; (0) 170 ps    ; (0) 170 ps    ; --                    ; --  ;
; SRAM_DQ[11]   ; Bidir    ; (0) 170 ps    ; (0) 170 ps    ; --                    ; --  ;
; SRAM_DQ[12]   ; Bidir    ; (0) 170 ps    ; (0) 170 ps    ; --                    ; --  ;
; SRAM_DQ[13]   ; Bidir    ; (0) 170 ps    ; (0) 170 ps    ; --                    ; --  ;
; SRAM_DQ[14]   ; Bidir    ; (0) 170 ps    ; (0) 170 ps    ; --                    ; --  ;
; SRAM_DQ[15]   ; Bidir    ; (0) 170 ps    ; (0) 170 ps    ; --                    ; --  ;
; I2C_SDAT      ; Bidir    ; (0) 170 ps    ; (0) 170 ps    ; --                    ; --  ;
; PS2_DAT       ; Bidir    ; (6) 2514 ps   ; (6) 2514 ps   ; --                    ; --  ;
; PS2_CLK       ; Bidir    ; (6) 2514 ps   ; (6) 2514 ps   ; --                    ; --  ;
; AUD_ADCLRCK   ; Bidir    ; (0) 170 ps    ; (0) 170 ps    ; --                    ; --  ;
; AUD_DACLRCK   ; Bidir    ; (0) 170 ps    ; (0) 170 ps    ; --                    ; --  ;
; AUD_BCLK      ; Bidir    ; (0) 170 ps    ; (0) 170 ps    ; --                    ; --  ;
; GPIO_0[0]     ; Bidir    ; (0) 170 ps    ; (0) 170 ps    ; --                    ; --  ;
; GPIO_0[1]     ; Bidir    ; (0) 170 ps    ; (0) 170 ps    ; --                    ; --  ;
; GPIO_0[2]     ; Bidir    ; (0) 170 ps    ; (0) 170 ps    ; --                    ; --  ;
; GPIO_0[3]     ; Bidir    ; (0) 170 ps    ; (0) 170 ps    ; --                    ; --  ;
; GPIO_0[4]     ; Bidir    ; (0) 170 ps    ; (0) 170 ps    ; --                    ; --  ;
; GPIO_0[5]     ; Bidir    ; (0) 170 ps    ; (0) 170 ps    ; --                    ; --  ;
; GPIO_0[6]     ; Bidir    ; (0) 170 ps    ; (0) 170 ps    ; --                    ; --  ;
; GPIO_0[7]     ; Bidir    ; (0) 170 ps    ; (0) 170 ps    ; --                    ; --  ;
; GPIO_0[8]     ; Bidir    ; (0) 170 ps    ; (0) 170 ps    ; --                    ; --  ;
; GPIO_0[9]     ; Bidir    ; (0) 170 ps    ; (0) 170 ps    ; --                    ; --  ;
; GPIO_0[10]    ; Bidir    ; (0) 170 ps    ; (0) 170 ps    ; --                    ; --  ;
; GPIO_0[11]    ; Bidir    ; (0) 170 ps    ; (0) 170 ps    ; --                    ; --  ;
; GPIO_0[12]    ; Bidir    ; (0) 170 ps    ; (0) 170 ps    ; --                    ; --  ;
; GPIO_0[13]    ; Bidir    ; (0) 170 ps    ; (0) 170 ps    ; --                    ; --  ;
; GPIO_0[14]    ; Bidir    ; (0) 170 ps    ; (0) 170 ps    ; --                    ; --  ;
; GPIO_0[15]    ; Bidir    ; (0) 170 ps    ; (0) 170 ps    ; --                    ; --  ;
; GPIO_0[16]    ; Bidir    ; (0) 171 ps    ; (0) 171 ps    ; --                    ; --  ;
; GPIO_0[17]    ; Bidir    ; (0) 171 ps    ; (0) 171 ps    ; --                    ; --  ;
; GPIO_0[18]    ; Bidir    ; (0) 171 ps    ; (0) 171 ps    ; --                    ; --  ;
; GPIO_0[19]    ; Bidir    ; (0) 171 ps    ; (0) 171 ps    ; --                    ; --  ;
; GPIO_0[20]    ; Bidir    ; (0) 171 ps    ; (0) 171 ps    ; --                    ; --  ;
; GPIO_0[21]    ; Bidir    ; (0) 171 ps    ; (0) 171 ps    ; --                    ; --  ;
; GPIO_0[22]    ; Bidir    ; (0) 171 ps    ; (0) 171 ps    ; --                    ; --  ;
; GPIO_0[23]    ; Bidir    ; (0) 171 ps    ; (0) 171 ps    ; --                    ; --  ;
; GPIO_0[24]    ; Bidir    ; (0) 171 ps    ; (0) 171 ps    ; --                    ; --  ;
; GPIO_0[25]    ; Bidir    ; (0) 171 ps    ; (0) 171 ps    ; --                    ; --  ;
; GPIO_0[26]    ; Bidir    ; (0) 171 ps    ; (0) 171 ps    ; --                    ; --  ;
; GPIO_0[27]    ; Bidir    ; (0) 171 ps    ; (0) 171 ps    ; --                    ; --  ;
; GPIO_0[28]    ; Bidir    ; (0) 171 ps    ; (0) 171 ps    ; --                    ; --  ;
; GPIO_0[29]    ; Bidir    ; (0) 171 ps    ; (0) 171 ps    ; --                    ; --  ;
; GPIO_0[30]    ; Bidir    ; (0) 171 ps    ; (0) 171 ps    ; --                    ; --  ;
; GPIO_0[31]    ; Bidir    ; (0) 171 ps    ; (0) 171 ps    ; --                    ; --  ;
; GPIO_0[32]    ; Bidir    ; (0) 171 ps    ; (0) 171 ps    ; --                    ; --  ;
; GPIO_0[33]    ; Bidir    ; (0) 171 ps    ; (0) 171 ps    ; --                    ; --  ;
; GPIO_0[34]    ; Bidir    ; (0) 171 ps    ; (0) 171 ps    ; --                    ; --  ;
; GPIO_0[35]    ; Bidir    ; (0) 171 ps    ; (0) 171 ps    ; --                    ; --  ;
; GPIO_1[0]     ; Bidir    ; (0) 170 ps    ; (0) 170 ps    ; --                    ; --  ;
; GPIO_1[1]     ; Bidir    ; (0) 170 ps    ; (0) 170 ps    ; --                    ; --  ;
; GPIO_1[2]     ; Bidir    ; (0) 170 ps    ; (0) 170 ps    ; --                    ; --  ;
; GPIO_1[3]     ; Bidir    ; (0) 170 ps    ; (0) 170 ps    ; --                    ; --  ;
; GPIO_1[4]     ; Bidir    ; (0) 170 ps    ; (0) 170 ps    ; --                    ; --  ;
; GPIO_1[5]     ; Bidir    ; (0) 170 ps    ; (0) 170 ps    ; --                    ; --  ;
; GPIO_1[6]     ; Bidir    ; (0) 170 ps    ; (0) 170 ps    ; --                    ; --  ;
; GPIO_1[7]     ; Bidir    ; (0) 170 ps    ; (0) 170 ps    ; --                    ; --  ;
; GPIO_1[8]     ; Bidir    ; (0) 170 ps    ; (0) 170 ps    ; --                    ; --  ;
; GPIO_1[9]     ; Bidir    ; (0) 170 ps    ; (0) 170 ps    ; --                    ; --  ;
; GPIO_1[10]    ; Bidir    ; (0) 170 ps    ; (0) 170 ps    ; --                    ; --  ;
; GPIO_1[11]    ; Bidir    ; (0) 170 ps    ; (0) 170 ps    ; --                    ; --  ;
; GPIO_1[12]    ; Bidir    ; (0) 170 ps    ; (0) 170 ps    ; --                    ; --  ;
; GPIO_1[13]    ; Bidir    ; (0) 170 ps    ; (0) 170 ps    ; --                    ; --  ;
; GPIO_1[14]    ; Bidir    ; (0) 170 ps    ; (0) 170 ps    ; --                    ; --  ;
; GPIO_1[15]    ; Bidir    ; (0) 170 ps    ; (0) 170 ps    ; --                    ; --  ;
; GPIO_1[16]    ; Bidir    ; (0) 171 ps    ; (0) 171 ps    ; --                    ; --  ;
; GPIO_1[17]    ; Bidir    ; (0) 171 ps    ; (0) 171 ps    ; --                    ; --  ;
; GPIO_1[18]    ; Bidir    ; (0) 171 ps    ; (0) 171 ps    ; --                    ; --  ;
; GPIO_1[19]    ; Bidir    ; (0) 171 ps    ; (0) 171 ps    ; --                    ; --  ;
; GPIO_1[20]    ; Bidir    ; (0) 171 ps    ; (0) 171 ps    ; --                    ; --  ;
; GPIO_1[21]    ; Bidir    ; (0) 171 ps    ; (0) 171 ps    ; --                    ; --  ;
; GPIO_1[22]    ; Bidir    ; (0) 171 ps    ; (0) 171 ps    ; --                    ; --  ;
; GPIO_1[23]    ; Bidir    ; (0) 171 ps    ; (0) 171 ps    ; --                    ; --  ;
; GPIO_1[24]    ; Bidir    ; (0) 171 ps    ; (0) 171 ps    ; --                    ; --  ;
; GPIO_1[25]    ; Bidir    ; (0) 171 ps    ; (0) 171 ps    ; --                    ; --  ;
; GPIO_1[26]    ; Bidir    ; (0) 171 ps    ; (0) 171 ps    ; --                    ; --  ;
; GPIO_1[27]    ; Bidir    ; (0) 171 ps    ; (0) 171 ps    ; --                    ; --  ;
; GPIO_1[28]    ; Bidir    ; (0) 171 ps    ; (0) 171 ps    ; --                    ; --  ;
; GPIO_1[29]    ; Bidir    ; (0) 171 ps    ; (0) 171 ps    ; --                    ; --  ;
; GPIO_1[30]    ; Bidir    ; (0) 171 ps    ; (0) 171 ps    ; --                    ; --  ;
; GPIO_1[31]    ; Bidir    ; (0) 171 ps    ; (0) 171 ps    ; --                    ; --  ;
; GPIO_1[32]    ; Bidir    ; (0) 171 ps    ; (0) 171 ps    ; --                    ; --  ;
; GPIO_1[33]    ; Bidir    ; (0) 171 ps    ; (0) 171 ps    ; --                    ; --  ;
; GPIO_1[34]    ; Bidir    ; (0) 171 ps    ; (0) 171 ps    ; --                    ; --  ;
; GPIO_1[35]    ; Bidir    ; (0) 171 ps    ; (0) 171 ps    ; --                    ; --  ;
; CLOCK_27      ; Input    ; (0) 170 ps    ; (0) 170 ps    ; --                    ; --  ;
; EXT_CLOCK     ; Input    ; (0) 171 ps    ; (0) 171 ps    ; --                    ; --  ;
; HEX0[0]       ; Output   ; --            ; --            ; --                    ; --  ;
; HEX0[1]       ; Output   ; --            ; --            ; --                    ; --  ;
; HEX0[2]       ; Output   ; --            ; --            ; --                    ; --  ;
; HEX0[3]       ; Output   ; --            ; --            ; --                    ; --  ;
; HEX0[4]       ; Output   ; --            ; --            ; --                    ; --  ;
; HEX0[5]       ; Output   ; --            ; --            ; --                    ; --  ;
; HEX0[6]       ; Output   ; --            ; --            ; --                    ; --  ;
; HEX1[0]       ; Output   ; --            ; --            ; --                    ; --  ;
; HEX1[1]       ; Output   ; --            ; --            ; --                    ; --  ;
; HEX1[2]       ; Output   ; --            ; --            ; --                    ; --  ;
; HEX1[3]       ; Output   ; --            ; --            ; --                    ; --  ;
; HEX1[4]       ; Output   ; --            ; --            ; --                    ; --  ;
; HEX1[5]       ; Output   ; --            ; --            ; --                    ; --  ;
; HEX1[6]       ; Output   ; --            ; --            ; --                    ; --  ;
; HEX2[0]       ; Output   ; --            ; --            ; --                    ; --  ;
; HEX2[1]       ; Output   ; --            ; --            ; --                    ; --  ;
; HEX2[2]       ; Output   ; --            ; --            ; --                    ; --  ;
; HEX2[3]       ; Output   ; --            ; --            ; --                    ; --  ;
; HEX2[4]       ; Output   ; --            ; --            ; --                    ; --  ;
; HEX2[5]       ; Output   ; --            ; --            ; --                    ; --  ;
; HEX2[6]       ; Output   ; --            ; --            ; --                    ; --  ;
; HEX3[0]       ; Output   ; --            ; --            ; --                    ; --  ;
; HEX3[1]       ; Output   ; --            ; --            ; --                    ; --  ;
; HEX3[2]       ; Output   ; --            ; --            ; --                    ; --  ;
; HEX3[3]       ; Output   ; --            ; --            ; --                    ; --  ;
; HEX3[4]       ; Output   ; --            ; --            ; --                    ; --  ;
; HEX3[5]       ; Output   ; --            ; --            ; --                    ; --  ;
; HEX3[6]       ; Output   ; --            ; --            ; --                    ; --  ;
; LEDG[0]       ; Output   ; --            ; --            ; --                    ; --  ;
; LEDG[1]       ; Output   ; --            ; --            ; --                    ; --  ;
; LEDG[2]       ; Output   ; --            ; --            ; --                    ; --  ;
; LEDG[3]       ; Output   ; --            ; --            ; --                    ; --  ;
; LEDG[4]       ; Output   ; --            ; --            ; --                    ; --  ;
; LEDG[5]       ; Output   ; --            ; --            ; --                    ; --  ;
; LEDG[6]       ; Output   ; --            ; --            ; --                    ; --  ;
; LEDG[7]       ; Output   ; --            ; --            ; --                    ; --  ;
; LEDR[0]       ; Output   ; --            ; --            ; --                    ; --  ;
; LEDR[1]       ; Output   ; --            ; --            ; --                    ; --  ;
; LEDR[2]       ; Output   ; --            ; --            ; --                    ; --  ;
; LEDR[3]       ; Output   ; --            ; --            ; --                    ; --  ;
; LEDR[4]       ; Output   ; --            ; --            ; --                    ; --  ;
; LEDR[5]       ; Output   ; --            ; --            ; --                    ; --  ;
; LEDR[6]       ; Output   ; --            ; --            ; --                    ; --  ;
; LEDR[7]       ; Output   ; --            ; --            ; --                    ; --  ;
; LEDR[8]       ; Output   ; --            ; --            ; --                    ; --  ;
; LEDR[9]       ; Output   ; --            ; --            ; --                    ; --  ;
; UART_RXD      ; Input    ; (0) 170 ps    ; (0) 170 ps    ; --                    ; --  ;
; IRDA_RXD      ; Input    ; (0) 170 ps    ; (0) 170 ps    ; --                    ; --  ;
; DRAM_ADDR[0]  ; Output   ; --            ; --            ; --                    ; --  ;
; DRAM_ADDR[1]  ; Output   ; --            ; --            ; --                    ; --  ;
; DRAM_ADDR[2]  ; Output   ; --            ; --            ; --                    ; --  ;
; DRAM_ADDR[3]  ; Output   ; --            ; --            ; --                    ; --  ;
; DRAM_ADDR[4]  ; Output   ; --            ; --            ; --                    ; --  ;
; DRAM_ADDR[5]  ; Output   ; --            ; --            ; --                    ; --  ;
; DRAM_ADDR[6]  ; Output   ; --            ; --            ; --                    ; --  ;
; DRAM_ADDR[7]  ; Output   ; --            ; --            ; --                    ; --  ;
; DRAM_ADDR[8]  ; Output   ; --            ; --            ; --                    ; --  ;
; DRAM_ADDR[9]  ; Output   ; --            ; --            ; --                    ; --  ;
; DRAM_ADDR[10] ; Output   ; --            ; --            ; --                    ; --  ;
; DRAM_ADDR[11] ; Output   ; --            ; --            ; --                    ; --  ;
; DRAM_LDQM     ; Output   ; --            ; --            ; --                    ; --  ;
; DRAM_UDQM     ; Output   ; --            ; --            ; --                    ; --  ;
; DRAM_WE_N     ; Output   ; --            ; --            ; --                    ; --  ;
; DRAM_CAS_N    ; Output   ; --            ; --            ; --                    ; --  ;
; DRAM_RAS_N    ; Output   ; --            ; --            ; --                    ; --  ;
; DRAM_CS_N     ; Output   ; --            ; --            ; --                    ; --  ;
; DRAM_BA_0     ; Output   ; --            ; --            ; --                    ; --  ;
; DRAM_BA_1     ; Output   ; --            ; --            ; --                    ; --  ;
; DRAM_CLK      ; Output   ; --            ; --            ; --                    ; --  ;
; DRAM_CKE      ; Output   ; --            ; --            ; --                    ; --  ;
; FL_ADDR[0]    ; Output   ; --            ; --            ; --                    ; --  ;
; FL_ADDR[1]    ; Output   ; --            ; --            ; --                    ; --  ;
; FL_ADDR[2]    ; Output   ; --            ; --            ; --                    ; --  ;
; FL_ADDR[3]    ; Output   ; --            ; --            ; --                    ; --  ;
; FL_ADDR[4]    ; Output   ; --            ; --            ; --                    ; --  ;
; FL_ADDR[5]    ; Output   ; --            ; --            ; --                    ; --  ;
; FL_ADDR[6]    ; Output   ; --            ; --            ; --                    ; --  ;
; FL_ADDR[7]    ; Output   ; --            ; --            ; --                    ; --  ;
; FL_ADDR[8]    ; Output   ; --            ; --            ; --                    ; --  ;
; FL_ADDR[9]    ; Output   ; --            ; --            ; --                    ; --  ;
; FL_ADDR[10]   ; Output   ; --            ; --            ; --                    ; --  ;
; FL_ADDR[11]   ; Output   ; --            ; --            ; --                    ; --  ;
; FL_ADDR[12]   ; Output   ; --            ; --            ; --                    ; --  ;
; FL_ADDR[13]   ; Output   ; --            ; --            ; --                    ; --  ;
; FL_ADDR[14]   ; Output   ; --            ; --            ; --                    ; --  ;
; FL_ADDR[15]   ; Output   ; --            ; --            ; --                    ; --  ;
; FL_ADDR[16]   ; Output   ; --            ; --            ; --                    ; --  ;
; FL_ADDR[17]   ; Output   ; --            ; --            ; --                    ; --  ;
; FL_ADDR[18]   ; Output   ; --            ; --            ; --                    ; --  ;
; FL_ADDR[19]   ; Output   ; --            ; --            ; --                    ; --  ;
; FL_ADDR[20]   ; Output   ; --            ; --            ; --                    ; --  ;
; FL_ADDR[21]   ; Output   ; --            ; --            ; --                    ; --  ;
; FL_WE_N       ; Output   ; --            ; --            ; --                    ; --  ;
; FL_RST_N      ; Output   ; --            ; --            ; --                    ; --  ;
; FL_OE_N       ; Output   ; --            ; --            ; --                    ; --  ;
; FL_CE_N       ; Output   ; --            ; --            ; --                    ; --  ;
; SRAM_ADDR[0]  ; Output   ; --            ; --            ; --                    ; --  ;
; SRAM_ADDR[1]  ; Output   ; --            ; --            ; --                    ; --  ;
; SRAM_ADDR[2]  ; Output   ; --            ; --            ; --                    ; --  ;
; SRAM_ADDR[3]  ; Output   ; --            ; --            ; --                    ; --  ;
; SRAM_ADDR[4]  ; Output   ; --            ; --            ; --                    ; --  ;
; SRAM_ADDR[5]  ; Output   ; --            ; --            ; --                    ; --  ;
; SRAM_ADDR[6]  ; Output   ; --            ; --            ; --                    ; --  ;
; SRAM_ADDR[7]  ; Output   ; --            ; --            ; --                    ; --  ;
; SRAM_ADDR[8]  ; Output   ; --            ; --            ; --                    ; --  ;
; SRAM_ADDR[9]  ; Output   ; --            ; --            ; --                    ; --  ;
; SRAM_ADDR[10] ; Output   ; --            ; --            ; --                    ; --  ;
; SRAM_ADDR[11] ; Output   ; --            ; --            ; --                    ; --  ;
; SRAM_ADDR[12] ; Output   ; --            ; --            ; --                    ; --  ;
; SRAM_ADDR[13] ; Output   ; --            ; --            ; --                    ; --  ;
; SRAM_ADDR[14] ; Output   ; --            ; --            ; --                    ; --  ;
; SRAM_ADDR[15] ; Output   ; --            ; --            ; --                    ; --  ;
; SRAM_ADDR[16] ; Output   ; --            ; --            ; --                    ; --  ;
; SRAM_ADDR[17] ; Output   ; --            ; --            ; --                    ; --  ;
; SRAM_UB_N     ; Output   ; --            ; --            ; --                    ; --  ;
; SRAM_LB_N     ; Output   ; --            ; --            ; --                    ; --  ;
; SRAM_WE_N     ; Output   ; --            ; --            ; --                    ; --  ;
; SRAM_CE_N     ; Output   ; --            ; --            ; --                    ; --  ;
; SRAM_OE_N     ; Output   ; --            ; --            ; --                    ; --  ;
; SD_DAT        ; Input    ; (0) 170 ps    ; (0) 170 ps    ; --                    ; --  ;
; SD_DAT3       ; Output   ; --            ; --            ; --                    ; --  ;
; SD_CMD        ; Output   ; --            ; --            ; --                    ; --  ;
; SD_CLK        ; Output   ; --            ; --            ; --                    ; --  ;
; TDI           ; Input    ; (0) 170 ps    ; (0) 170 ps    ; --                    ; --  ;
; TCK           ; Input    ; (0) 170 ps    ; (0) 170 ps    ; --                    ; --  ;
; TCS           ; Input    ; (0) 170 ps    ; (0) 170 ps    ; --                    ; --  ;
; TDO           ; Output   ; --            ; --            ; --                    ; --  ;
; I2C_SCLK      ; Output   ; --            ; --            ; --                    ; --  ;
; VGA_HS        ; Output   ; --            ; --            ; --                    ; --  ;
; VGA_VS        ; Output   ; --            ; --            ; --                    ; --  ;
; VGA_R[0]      ; Output   ; --            ; --            ; --                    ; --  ;
; VGA_R[1]      ; Output   ; --            ; --            ; --                    ; --  ;
; VGA_R[2]      ; Output   ; --            ; --            ; --                    ; --  ;
; VGA_R[3]      ; Output   ; --            ; --            ; --                    ; --  ;
; VGA_G[0]      ; Output   ; --            ; --            ; --                    ; --  ;
; VGA_G[1]      ; Output   ; --            ; --            ; --                    ; --  ;
; VGA_G[2]      ; Output   ; --            ; --            ; --                    ; --  ;
; VGA_G[3]      ; Output   ; --            ; --            ; --                    ; --  ;
; VGA_B[0]      ; Output   ; --            ; --            ; --                    ; --  ;
; VGA_B[1]      ; Output   ; --            ; --            ; --                    ; --  ;
; VGA_B[2]      ; Output   ; --            ; --            ; --                    ; --  ;
; VGA_B[3]      ; Output   ; --            ; --            ; --                    ; --  ;
; AUD_ADCDAT    ; Input    ; (0) 170 ps    ; (0) 170 ps    ; --                    ; --  ;
; AUD_DACDAT    ; Output   ; --            ; --            ; --                    ; --  ;
; AUD_XCK       ; Output   ; --            ; --            ; --                    ; --  ;
; SW[8]         ; Input    ; (0) 171 ps    ; (0) 171 ps    ; --                    ; --  ;
; SW[9]         ; Input    ; (0) 171 ps    ; (0) 171 ps    ; --                    ; --  ;
; KEY[1]        ; Input    ; (6) 2523 ps   ; (6) 2523 ps   ; --                    ; --  ;
; SW[1]         ; Input    ; (0) 171 ps    ; (0) 171 ps    ; --                    ; --  ;
; KEY[0]        ; Input    ; (6) 2523 ps   ; (6) 2523 ps   ; --                    ; --  ;
; SW[0]         ; Input    ; (0) 171 ps    ; (0) 171 ps    ; --                    ; --  ;
; SW[7]         ; Input    ; (0) 171 ps    ; (0) 171 ps    ; --                    ; --  ;
; SW[6]         ; Input    ; (0) 170 ps    ; (0) 170 ps    ; --                    ; --  ;
; KEY[2]        ; Input    ; (6) 2523 ps   ; (6) 2523 ps   ; --                    ; --  ;
; SW[2]         ; Input    ; (0) 171 ps    ; (0) 171 ps    ; --                    ; --  ;
; SW[5]         ; Input    ; (0) 170 ps    ; (0) 170 ps    ; --                    ; --  ;
; SW[4]         ; Input    ; (0) 170 ps    ; (0) 170 ps    ; --                    ; --  ;
; KEY[3]        ; Input    ; (6) 2523 ps   ; (6) 2523 ps   ; --                    ; --  ;
; SW[3]         ; Input    ; (0) 170 ps    ; (0) 170 ps    ; --                    ; --  ;
; CLOCK_50      ; Input    ; (0) 171 ps    ; (0) 171 ps    ; --                    ; --  ;
+---------------+----------+---------------+---------------+-----------------------+-----+


+----------------------------------------------------------------------------------------------+
; Pad To Core Delay Chain Fanout                                                               ;
+----------------------------------------------------------------+-------------------+---------+
; Source Pin / Fanout                                            ; Pad To Core Index ; Setting ;
+----------------------------------------------------------------+-------------------+---------+
; DRAM_DQ[0]                                                     ;                   ;         ;
; DRAM_DQ[1]                                                     ;                   ;         ;
; DRAM_DQ[2]                                                     ;                   ;         ;
; DRAM_DQ[3]                                                     ;                   ;         ;
; DRAM_DQ[4]                                                     ;                   ;         ;
; DRAM_DQ[5]                                                     ;                   ;         ;
; DRAM_DQ[6]                                                     ;                   ;         ;
; DRAM_DQ[7]                                                     ;                   ;         ;
; DRAM_DQ[8]                                                     ;                   ;         ;
; DRAM_DQ[9]                                                     ;                   ;         ;
; DRAM_DQ[10]                                                    ;                   ;         ;
; DRAM_DQ[11]                                                    ;                   ;         ;
; DRAM_DQ[12]                                                    ;                   ;         ;
; DRAM_DQ[13]                                                    ;                   ;         ;
; DRAM_DQ[14]                                                    ;                   ;         ;
; DRAM_DQ[15]                                                    ;                   ;         ;
; FL_DQ[0]                                                       ;                   ;         ;
; FL_DQ[1]                                                       ;                   ;         ;
; FL_DQ[2]                                                       ;                   ;         ;
; FL_DQ[3]                                                       ;                   ;         ;
; FL_DQ[4]                                                       ;                   ;         ;
; FL_DQ[5]                                                       ;                   ;         ;
; FL_DQ[6]                                                       ;                   ;         ;
; FL_DQ[7]                                                       ;                   ;         ;
; SRAM_DQ[0]                                                     ;                   ;         ;
;      - DI_CPU[0]~48                                            ; 0                 ; 6       ;
; SRAM_DQ[1]                                                     ;                   ;         ;
;      - DI_CPU[1]~43                                            ; 1                 ; 6       ;
; SRAM_DQ[2]                                                     ;                   ;         ;
;      - DI_CPU[2]~65                                            ; 0                 ; 6       ;
; SRAM_DQ[3]                                                     ;                   ;         ;
;      - DI_CPU[3]~80                                            ; 0                 ; 6       ;
; SRAM_DQ[4]                                                     ;                   ;         ;
;      - DI_CPU[4]~78                                            ; 0                 ; 6       ;
; SRAM_DQ[5]                                                     ;                   ;         ;
;      - DI_CPU[5]~73                                            ; 1                 ; 6       ;
; SRAM_DQ[6]                                                     ;                   ;         ;
;      - DI_CPU[6]~63                                            ; 0                 ; 6       ;
; SRAM_DQ[7]                                                     ;                   ;         ;
;      - DI_CPU[7]~58                                            ; 0                 ; 6       ;
; SRAM_DQ[8]                                                     ;                   ;         ;
; SRAM_DQ[9]                                                     ;                   ;         ;
; SRAM_DQ[10]                                                    ;                   ;         ;
; SRAM_DQ[11]                                                    ;                   ;         ;
; SRAM_DQ[12]                                                    ;                   ;         ;
; SRAM_DQ[13]                                                    ;                   ;         ;
; SRAM_DQ[14]                                                    ;                   ;         ;
; SRAM_DQ[15]                                                    ;                   ;         ;
; I2C_SDAT                                                       ;                   ;         ;
; PS2_DAT                                                        ;                   ;         ;
;      - ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR~0       ; 0                 ; 6       ;
;      - ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set~0       ; 0                 ; 6       ;
;      - ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[8]~feeder ; 0                 ; 6       ;
; PS2_CLK                                                        ;                   ;         ;
;      - ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|filter[7]~feeder  ; 0                 ; 6       ;
; AUD_ADCLRCK                                                    ;                   ;         ;
; AUD_DACLRCK                                                    ;                   ;         ;
; AUD_BCLK                                                       ;                   ;         ;
; GPIO_0[0]                                                      ;                   ;         ;
; GPIO_0[1]                                                      ;                   ;         ;
; GPIO_0[2]                                                      ;                   ;         ;
; GPIO_0[3]                                                      ;                   ;         ;
; GPIO_0[4]                                                      ;                   ;         ;
; GPIO_0[5]                                                      ;                   ;         ;
; GPIO_0[6]                                                      ;                   ;         ;
; GPIO_0[7]                                                      ;                   ;         ;
; GPIO_0[8]                                                      ;                   ;         ;
; GPIO_0[9]                                                      ;                   ;         ;
; GPIO_0[10]                                                     ;                   ;         ;
; GPIO_0[11]                                                     ;                   ;         ;
; GPIO_0[12]                                                     ;                   ;         ;
; GPIO_0[13]                                                     ;                   ;         ;
; GPIO_0[14]                                                     ;                   ;         ;
; GPIO_0[15]                                                     ;                   ;         ;
; GPIO_0[16]                                                     ;                   ;         ;
; GPIO_0[17]                                                     ;                   ;         ;
; GPIO_0[18]                                                     ;                   ;         ;
; GPIO_0[19]                                                     ;                   ;         ;
; GPIO_0[20]                                                     ;                   ;         ;
; GPIO_0[21]                                                     ;                   ;         ;
; GPIO_0[22]                                                     ;                   ;         ;
; GPIO_0[23]                                                     ;                   ;         ;
; GPIO_0[24]                                                     ;                   ;         ;
; GPIO_0[25]                                                     ;                   ;         ;
; GPIO_0[26]                                                     ;                   ;         ;
; GPIO_0[27]                                                     ;                   ;         ;
; GPIO_0[28]                                                     ;                   ;         ;
; GPIO_0[29]                                                     ;                   ;         ;
; GPIO_0[30]                                                     ;                   ;         ;
; GPIO_0[31]                                                     ;                   ;         ;
; GPIO_0[32]                                                     ;                   ;         ;
; GPIO_0[33]                                                     ;                   ;         ;
; GPIO_0[34]                                                     ;                   ;         ;
; GPIO_0[35]                                                     ;                   ;         ;
; GPIO_1[0]                                                      ;                   ;         ;
; GPIO_1[1]                                                      ;                   ;         ;
; GPIO_1[2]                                                      ;                   ;         ;
; GPIO_1[3]                                                      ;                   ;         ;
; GPIO_1[4]                                                      ;                   ;         ;
; GPIO_1[5]                                                      ;                   ;         ;
; GPIO_1[6]                                                      ;                   ;         ;
; GPIO_1[7]                                                      ;                   ;         ;
; GPIO_1[8]                                                      ;                   ;         ;
; GPIO_1[9]                                                      ;                   ;         ;
; GPIO_1[10]                                                     ;                   ;         ;
; GPIO_1[11]                                                     ;                   ;         ;
; GPIO_1[12]                                                     ;                   ;         ;
; GPIO_1[13]                                                     ;                   ;         ;
; GPIO_1[14]                                                     ;                   ;         ;
; GPIO_1[15]                                                     ;                   ;         ;
; GPIO_1[16]                                                     ;                   ;         ;
; GPIO_1[17]                                                     ;                   ;         ;
; GPIO_1[18]                                                     ;                   ;         ;
; GPIO_1[19]                                                     ;                   ;         ;
; GPIO_1[20]                                                     ;                   ;         ;
; GPIO_1[21]                                                     ;                   ;         ;
; GPIO_1[22]                                                     ;                   ;         ;
; GPIO_1[23]                                                     ;                   ;         ;
; GPIO_1[24]                                                     ;                   ;         ;
; GPIO_1[25]                                                     ;                   ;         ;
; GPIO_1[26]                                                     ;                   ;         ;
; GPIO_1[27]                                                     ;                   ;         ;
; GPIO_1[28]                                                     ;                   ;         ;
; GPIO_1[29]                                                     ;                   ;         ;
; GPIO_1[30]                                                     ;                   ;         ;
; GPIO_1[31]                                                     ;                   ;         ;
; GPIO_1[32]                                                     ;                   ;         ;
; GPIO_1[33]                                                     ;                   ;         ;
; GPIO_1[34]                                                     ;                   ;         ;
; GPIO_1[35]                                                     ;                   ;         ;
; CLOCK_27                                                       ;                   ;         ;
; EXT_CLOCK                                                      ;                   ;         ;
; UART_RXD                                                       ;                   ;         ;
; IRDA_RXD                                                       ;                   ;         ;
; SD_DAT                                                         ;                   ;         ;
; TDI                                                            ;                   ;         ;
; TCK                                                            ;                   ;         ;
; TCS                                                            ;                   ;         ;
; AUD_ADCDAT                                                     ;                   ;         ;
; SW[8]                                                          ;                   ;         ;
; SW[9]                                                          ;                   ;         ;
; KEY[1]                                                         ;                   ;         ;
;      - DI_CPU[1]~43                                            ; 0                 ; 6       ;
; SW[1]                                                          ;                   ;         ;
; KEY[0]                                                         ;                   ;         ;
;      - DI_CPU[0]~49                                            ; 0                 ; 6       ;
; SW[0]                                                          ;                   ;         ;
; SW[7]                                                          ;                   ;         ;
; SW[6]                                                          ;                   ;         ;
; KEY[2]                                                         ;                   ;         ;
;      - DI_CPU[2]~66                                            ; 0                 ; 6       ;
; SW[2]                                                          ;                   ;         ;
; SW[5]                                                          ;                   ;         ;
; SW[4]                                                          ;                   ;         ;
; KEY[3]                                                         ;                   ;         ;
;      - DI_CPU[3]~80                                            ; 1                 ; 6       ;
; SW[3]                                                          ;                   ;         ;
; CLOCK_50                                                       ;                   ;         ;
+----------------------------------------------------------------+-------------------+---------+


+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Control Signals                                                                                                                                                                                                                                                         ;
+-------------------------------------------------------------------------------------------------------------------------------------------+--------------------+---------+---------------+--------+----------------------+------------------+---------------------------+
; Name                                                                                                                                      ; Location           ; Fan-Out ; Usage         ; Global ; Global Resource Used ; Global Line Name ; Enable Signal Source Name ;
+-------------------------------------------------------------------------------------------------------------------------------------------+--------------------+---------+---------------+--------+----------------------+------------------+---------------------------+
; CLOCK_50                                                                                                                                  ; PIN_L1             ; 3       ; Clock         ; no     ; --                   ; --               ; --                        ;
; CLOCK_50                                                                                                                                  ; PIN_L1             ; 46      ; Clock         ; yes    ; Global Clock         ; GCLK2            ; --                        ;
; Clk_Z80                                                                                                                                   ; LCCOMB_X23_Y26_N20 ; 401     ; Clock         ; yes    ; Global Clock         ; GCLK8            ; --                        ;
; SRAM_DQ~8                                                                                                                                 ; LCCOMB_X36_Y15_N18 ; 9       ; Output enable ; no     ; --                   ; --               ; --                        ;
; SW[9]                                                                                                                                     ; PIN_L2             ; 175     ; Async. clear  ; yes    ; Global Clock         ; GCLK1            ; --                        ;
; SW[9]                                                                                                                                     ; PIN_L2             ; 11      ; Sync. clear   ; no     ; --                   ; --               ; --                        ;
; T80se:z80_inst|Equal3~0                                                                                                                   ; LCCOMB_X32_Y16_N6  ; 24      ; Clock enable  ; no     ; --                   ; --               ; --                        ;
; T80se:z80_inst|T80:u0|ACC[3]~11                                                                                                           ; LCCOMB_X25_Y16_N4  ; 8       ; Clock enable  ; no     ; --                   ; --               ; --                        ;
; T80se:z80_inst|T80:u0|A[12]                                                                                                               ; LCFF_X40_Y15_N17   ; 21      ; Clock enable  ; no     ; --                   ; --               ; --                        ;
; T80se:z80_inst|T80:u0|A[1]~13                                                                                                             ; LCCOMB_X22_Y19_N20 ; 16      ; Clock enable  ; no     ; --                   ; --               ; --                        ;
; T80se:z80_inst|T80:u0|BusA[1]~1                                                                                                           ; LCCOMB_X27_Y18_N20 ; 8       ; Clock enable  ; no     ; --                   ; --               ; --                        ;
; T80se:z80_inst|T80:u0|DO[7]~15                                                                                                            ; LCCOMB_X32_Y17_N14 ; 8       ; Sync. load    ; no     ; --                   ; --               ; --                        ;
; T80se:z80_inst|T80:u0|F[3]~70                                                                                                             ; LCCOMB_X22_Y14_N28 ; 2       ; Clock enable  ; no     ; --                   ; --               ; --                        ;
; T80se:z80_inst|T80:u0|F~55                                                                                                                ; LCCOMB_X29_Y15_N26 ; 16      ; Clock enable  ; no     ; --                   ; --               ; --                        ;
; T80se:z80_inst|T80:u0|IR[7]~9                                                                                                             ; LCCOMB_X33_Y16_N28 ; 8       ; Clock enable  ; no     ; --                   ; --               ; --                        ;
; T80se:z80_inst|T80:u0|ISet[0]~4                                                                                                           ; LCCOMB_X34_Y19_N28 ; 2       ; Clock enable  ; no     ; --                   ; --               ; --                        ;
; T80se:z80_inst|T80:u0|ISet[1]                                                                                                             ; LCFF_X34_Y19_N1    ; 75      ; Sync. load    ; no     ; --                   ; --               ; --                        ;
; T80se:z80_inst|T80:u0|I[0]~0                                                                                                              ; LCCOMB_X25_Y20_N30 ; 8       ; Clock enable  ; no     ; --                   ; --               ; --                        ;
; T80se:z80_inst|T80:u0|PC[1]~23                                                                                                            ; LCCOMB_X21_Y15_N6  ; 15      ; Sync. load    ; no     ; --                   ; --               ; --                        ;
; T80se:z80_inst|T80:u0|PC[1]~27                                                                                                            ; LCCOMB_X21_Y15_N10 ; 15      ; Clock enable  ; no     ; --                   ; --               ; --                        ;
; T80se:z80_inst|T80:u0|Pre_XY_F_M[2]~1                                                                                                     ; LCCOMB_X26_Y15_N6  ; 3       ; Clock enable  ; no     ; --                   ; --               ; --                        ;
; T80se:z80_inst|T80:u0|R[2]~11                                                                                                             ; LCCOMB_X22_Y18_N28 ; 7       ; Sync. load    ; no     ; --                   ; --               ; --                        ;
; T80se:z80_inst|T80:u0|R[2]~12                                                                                                             ; LCCOMB_X22_Y18_N30 ; 7       ; Clock enable  ; no     ; --                   ; --               ; --                        ;
; T80se:z80_inst|T80:u0|SP[4]~10                                                                                                            ; LCCOMB_X32_Y18_N16 ; 8       ; Clock enable  ; no     ; --                   ; --               ; --                        ;
; T80se:z80_inst|T80:u0|SP[8]~29                                                                                                            ; LCCOMB_X32_Y18_N10 ; 8       ; Clock enable  ; no     ; --                   ; --               ; --                        ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[0][0]~6                                                                                          ; LCCOMB_X29_Y20_N28 ; 8       ; Clock enable  ; no     ; --                   ; --               ; --                        ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[1][0]~5                                                                                          ; LCCOMB_X29_Y20_N26 ; 8       ; Clock enable  ; no     ; --                   ; --               ; --                        ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[2][0]~4                                                                                          ; LCCOMB_X29_Y20_N8  ; 8       ; Clock enable  ; no     ; --                   ; --               ; --                        ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[3][0]~7                                                                                          ; LCCOMB_X29_Y20_N6  ; 8       ; Clock enable  ; no     ; --                   ; --               ; --                        ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[4][0]~2                                                                                          ; LCCOMB_X29_Y20_N20 ; 8       ; Clock enable  ; no     ; --                   ; --               ; --                        ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[5][0]~0                                                                                          ; LCCOMB_X29_Y20_N24 ; 8       ; Clock enable  ; no     ; --                   ; --               ; --                        ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[6][0]~1                                                                                          ; LCCOMB_X29_Y20_N10 ; 8       ; Clock enable  ; no     ; --                   ; --               ; --                        ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[7][0]~3                                                                                          ; LCCOMB_X29_Y20_N22 ; 8       ; Clock enable  ; no     ; --                   ; --               ; --                        ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[0][0]~6                                                                                          ; LCCOMB_X29_Y16_N14 ; 8       ; Clock enable  ; no     ; --                   ; --               ; --                        ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[1][0]~5                                                                                          ; LCCOMB_X29_Y20_N18 ; 8       ; Clock enable  ; no     ; --                   ; --               ; --                        ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[2][0]~4                                                                                          ; LCCOMB_X29_Y16_N28 ; 8       ; Clock enable  ; no     ; --                   ; --               ; --                        ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[3][0]~7                                                                                          ; LCCOMB_X29_Y20_N12 ; 8       ; Clock enable  ; no     ; --                   ; --               ; --                        ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[4][0]~2                                                                                          ; LCCOMB_X29_Y16_N10 ; 8       ; Clock enable  ; no     ; --                   ; --               ; --                        ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[5][0]~0                                                                                          ; LCCOMB_X29_Y16_N8  ; 8       ; Clock enable  ; no     ; --                   ; --               ; --                        ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[6][0]~1                                                                                          ; LCCOMB_X29_Y20_N30 ; 8       ; Clock enable  ; no     ; --                   ; --               ; --                        ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[7][0]~3                                                                                          ; LCCOMB_X29_Y20_N16 ; 8       ; Clock enable  ; no     ; --                   ; --               ; --                        ;
; T80se:z80_inst|T80:u0|TmpAddr[0]~31                                                                                                       ; LCCOMB_X21_Y18_N24 ; 8       ; Clock enable  ; no     ; --                   ; --               ; --                        ;
; T80se:z80_inst|T80:u0|TmpAddr[10]~43                                                                                                      ; LCCOMB_X22_Y20_N16 ; 8       ; Clock enable  ; no     ; --                   ; --               ; --                        ;
; T80se:z80_inst|T80:u0|XY_State[1]~1                                                                                                       ; LCCOMB_X34_Y19_N14 ; 2       ; Clock enable  ; no     ; --                   ; --               ; --                        ;
; \pinout_process:LEDG_sig[0]~1                                                                                                             ; LCCOMB_X37_Y14_N12 ; 8       ; Clock enable  ; no     ; --                   ; --               ; --                        ;
; \pinout_process:LEDR_sig[0]~0                                                                                                             ; LCCOMB_X11_Y19_N24 ; 8       ; Clock enable  ; no     ; --                   ; --               ; --                        ;
; \pinout_process:NUMBER0_sig[3]~2                                                                                                          ; LCCOMB_X37_Y14_N4  ; 8       ; Clock enable  ; no     ; --                   ; --               ; --                        ;
; \pinout_process:NUMBER2_sig[3]~0                                                                                                          ; LCCOMB_X37_Y14_N26 ; 8       ; Clock enable  ; no     ; --                   ; --               ; --                        ;
; clk_div:clkdiv_inst|LessThan1~0                                                                                                           ; LCCOMB_X27_Y1_N0   ; 5       ; Sync. clear   ; no     ; --                   ; --               ; --                        ;
; clk_div:clkdiv_inst|clock_100Hz                                                                                                           ; LCFF_X49_Y13_N23   ; 2       ; Clock         ; yes    ; Global Clock         ; GCLK7            ; --                        ;
; clk_div:clkdiv_inst|clock_100Khz_int                                                                                                      ; LCFF_X1_Y13_N29    ; 4       ; Clock         ; yes    ; Global Clock         ; GCLK0            ; --                        ;
; clk_div:clkdiv_inst|clock_10Khz_int                                                                                                       ; LCFF_X26_Y1_N29    ; 4       ; Clock         ; yes    ; Global Clock         ; GCLK14           ; --                        ;
; clk_div:clkdiv_inst|clock_1Khz_int                                                                                                        ; LCFF_X25_Y26_N27   ; 4       ; Clock         ; yes    ; Global Clock         ; GCLK11           ; --                        ;
; clk_div:clkdiv_inst|clock_1Mhz_int                                                                                                        ; LCFF_X27_Y1_N17    ; 4       ; Clock         ; yes    ; Global Clock         ; GCLK13           ; --                        ;
; clk_div:clkdiv_inst|clock_25MHz                                                                                                           ; LCFF_X49_Y14_N7    ; 102     ; Clock         ; yes    ; Global Clock         ; GCLK6            ; --                        ;
; clk_div:clkdiv_inst|clock_25Mhz_int                                                                                                       ; LCFF_X49_Y14_N15   ; 6       ; Clock         ; yes    ; Global Clock         ; GCLK5            ; --                        ;
; clk_div:clkdiv_inst|count_10Mhz[0]                                                                                                        ; LCFF_X24_Y26_N15   ; 13      ; Clock enable  ; no     ; --                   ; --               ; --                        ;
; cram_wea~1                                                                                                                                ; LCCOMB_X40_Y15_N14 ; 4       ; Write enable  ; no     ; --                   ; --               ; --                        ;
; ps2_ascii_reg1[1]~2                                                                                                                       ; LCCOMB_X13_Y20_N30 ; 8       ; Clock enable  ; no     ; --                   ; --               ; --                        ;
; ps2_read                                                                                                                                  ; LCFF_X14_Y20_N27   ; 10      ; Async. clear  ; no     ; --                   ; --               ; --                        ;
; ps2kbd:ps2_kbd_inst|Equal0~1                                                                                                              ; LCCOMB_X13_Y19_N6  ; 2       ; Clock enable  ; no     ; --                   ; --               ; --                        ;
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]~1                                                                                          ; LCCOMB_X15_Y19_N22 ; 4       ; Clock enable  ; no     ; --                   ; --               ; --                        ;
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[7]~0                                                                                        ; LCCOMB_X16_Y19_N26 ; 9       ; Clock enable  ; no     ; --                   ; --               ; --                        ;
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered                                                                               ; LCFF_X24_Y26_N29   ; 23      ; Clock         ; yes    ; Global Clock         ; GCLK10           ; --                        ;
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set                                                                                           ; LCFF_X15_Y19_N21   ; 2       ; Clock         ; no     ; --                   ; --               ; --                        ;
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[7]~0                                                                                      ; LCCOMB_X15_Y19_N0  ; 8       ; Clock enable  ; no     ; --                   ; --               ; --                        ;
; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_tr91:auto_generated|decode_4oa:deep_decode|w_anode141w[2]                         ; LCCOMB_X40_Y15_N10 ; 8       ; Clock enable  ; no     ; --                   ; --               ; --                        ;
; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_tr91:auto_generated|decode_4oa:deep_decode|w_anode154w[2]~0                       ; LCCOMB_X40_Y15_N4  ; 9       ; Clock enable  ; no     ; --                   ; --               ; --                        ;
; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_tr91:auto_generated|decode_4oa:deep_decode|w_anode154w[2]~1                       ; LCCOMB_X40_Y15_N24 ; 8       ; Clock enable  ; no     ; --                   ; --               ; --                        ;
; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_tr91:auto_generated|decode_4oa:deep_decode|w_anode154w[2]~2                       ; LCCOMB_X40_Y15_N28 ; 8       ; Clock enable  ; no     ; --                   ; --               ; --                        ;
; video:video_inst|Add1~16                                                                                                                  ; LCCOMB_X19_Y12_N16 ; 13      ; Clock enable  ; no     ; --                   ; --               ; --                        ;
; video:video_inst|VGA_SYNC:vga_sync_inst|LessThan5~0                                                                                       ; LCCOMB_X18_Y12_N14 ; 11      ; Clock enable  ; no     ; --                   ; --               ; --                        ;
; video:video_inst|VGA_SYNC:vga_sync_inst|LessThan6~2                                                                                       ; LCCOMB_X20_Y12_N30 ; 10      ; Clock enable  ; no     ; --                   ; --               ; --                        ;
; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[2]~1                                                                                      ; LCCOMB_X19_Y13_N4  ; 10      ; Clock enable  ; no     ; --                   ; --               ; --                        ;
; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_66l1:auto_generated|altsyncram_pal1:altsyncram1|decode_1oa:decode4|eq_node[0]~3 ; LCCOMB_X40_Y15_N20 ; 8       ; Write enable  ; no     ; --                   ; --               ; --                        ;
; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_66l1:auto_generated|altsyncram_pal1:altsyncram1|decode_1oa:decode4|eq_node[1]~2 ; LCCOMB_X40_Y15_N18 ; 4       ; Write enable  ; no     ; --                   ; --               ; --                        ;
+-------------------------------------------------------------------------------------------------------------------------------------------+--------------------+---------+---------------+--------+----------------------+------------------+---------------------------+


+------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Global & Other Fast Signals                                                                                                                                      ;
+-------------------------------------------------------------+--------------------+---------+----------------------+------------------+---------------------------+
; Name                                                        ; Location           ; Fan-Out ; Global Resource Used ; Global Line Name ; Enable Signal Source Name ;
+-------------------------------------------------------------+--------------------+---------+----------------------+------------------+---------------------------+
; CLOCK_50                                                    ; PIN_L1             ; 46      ; Global Clock         ; GCLK2            ; --                        ;
; Clk_Z80                                                     ; LCCOMB_X23_Y26_N20 ; 401     ; Global Clock         ; GCLK8            ; --                        ;
; SW[9]                                                       ; PIN_L2             ; 175     ; Global Clock         ; GCLK1            ; --                        ;
; clk_div:clkdiv_inst|clock_100Hz                             ; LCFF_X49_Y13_N23   ; 2       ; Global Clock         ; GCLK7            ; --                        ;
; clk_div:clkdiv_inst|clock_100Khz_int                        ; LCFF_X1_Y13_N29    ; 4       ; Global Clock         ; GCLK0            ; --                        ;
; clk_div:clkdiv_inst|clock_10Khz_int                         ; LCFF_X26_Y1_N29    ; 4       ; Global Clock         ; GCLK14           ; --                        ;
; clk_div:clkdiv_inst|clock_1Khz_int                          ; LCFF_X25_Y26_N27   ; 4       ; Global Clock         ; GCLK11           ; --                        ;
; clk_div:clkdiv_inst|clock_1Mhz_int                          ; LCFF_X27_Y1_N17    ; 4       ; Global Clock         ; GCLK13           ; --                        ;
; clk_div:clkdiv_inst|clock_25MHz                             ; LCFF_X49_Y14_N7    ; 102     ; Global Clock         ; GCLK6            ; --                        ;
; clk_div:clkdiv_inst|clock_25Mhz_int                         ; LCFF_X49_Y14_N15   ; 6       ; Global Clock         ; GCLK5            ; --                        ;
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; LCFF_X24_Y26_N29   ; 23      ; Global Clock         ; GCLK10           ; --                        ;
+-------------------------------------------------------------+--------------------+---------+----------------------+------------------+---------------------------+


+-----------------------------------------------------------------------------------------------------------------------------------------------------+
; Non-Global High Fan-Out Signals                                                                                                                     ;
+-------------------------------------------------------------------------------------------------------------------------------------------+---------+
; Name                                                                                                                                      ; Fan-Out ;
+-------------------------------------------------------------------------------------------------------------------------------------------+---------+
; T80se:z80_inst|T80:u0|IR[1]                                                                                                               ; 217     ;
; T80se:z80_inst|T80:u0|IR[0]                                                                                                               ; 213     ;
; T80se:z80_inst|T80:u0|IR[2]                                                                                                               ; 179     ;
; T80se:z80_inst|T80:u0|IR[3]                                                                                                               ; 150     ;
; T80se:z80_inst|T80:u0|IR[6]                                                                                                               ; 125     ;
; T80se:z80_inst|T80:u0|IR[4]                                                                                                               ; 124     ;
; T80se:z80_inst|T80:u0|IR[5]                                                                                                               ; 120     ;
; T80se:z80_inst|T80:u0|IR[7]                                                                                                               ; 112     ;
; T80se:z80_inst|T80:u0|MCycle[0]                                                                                                           ; 92      ;
; T80se:z80_inst|T80:u0|MCycle[1]                                                                                                           ; 87      ;
; T80se:z80_inst|T80:u0|MCycle[2]                                                                                                           ; 76      ;
; T80se:z80_inst|T80:u0|ISet[1]                                                                                                             ; 75      ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux146~3                                                                                            ; 71      ;
; T80se:z80_inst|T80:u0|A[0]                                                                                                                ; 69      ;
; T80se:z80_inst|T80:u0|A[2]                                                                                                                ; 65      ;
; T80se:z80_inst|T80:u0|RegAddrA[1]~11                                                                                                      ; 64      ;
; T80se:z80_inst|T80:u0|RegAddrA[0]~9                                                                                                       ; 64      ;
; T80se:z80_inst|T80:u0|A[4]                                                                                                                ; 62      ;
; T80se:z80_inst|T80:u0|A[1]                                                                                                                ; 62      ;
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[3]                                                                                        ; 56      ;
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[5]                                                                                        ; 56      ;
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[4]                                                                                        ; 55      ;
; T80se:z80_inst|T80:u0|A[3]                                                                                                                ; 55      ;
; T80se:z80_inst|T80:u0|A[7]                                                                                                                ; 52      ;
; T80se:z80_inst|T80:u0|A[6]                                                                                                                ; 52      ;
; T80se:z80_inst|T80:u0|A[5]                                                                                                                ; 52      ;
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[0]                                                                                        ; 51      ;
; T80se:z80_inst|T80:u0|A[10]                                                                                                               ; 51      ;
; T80se:z80_inst|T80:u0|A[9]                                                                                                                ; 51      ;
; T80se:z80_inst|T80:u0|A[8]                                                                                                                ; 51      ;
; T80se:z80_inst|T80:u0|ALU_Op_r[0]                                                                                                         ; 49      ;
; T80se:z80_inst|T80:u0|RegAddrB[1]~2                                                                                                       ; 48      ;
; T80se:z80_inst|T80:u0|RegAddrB[0]~1                                                                                                       ; 48      ;
; T80se:z80_inst|T80:u0|ISet[0]                                                                                                             ; 48      ;
; T80se:z80_inst|T80:u0|RegAddrC[1]                                                                                                         ; 48      ;
; T80se:z80_inst|T80:u0|RegAddrC[0]                                                                                                         ; 48      ;
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[1]                                                                                        ; 47      ;
; T80se:z80_inst|T80:u0|process_0~3                                                                                                         ; 46      ;
; T80se:z80_inst|T80:u0|ALU_Op_r[1]                                                                                                         ; 45      ;
; T80se:z80_inst|T80:u0|A[11]                                                                                                               ; 44      ;
; ps2kbd:ps2_kbd_inst|caps[0]                                                                                                               ; 37      ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux146~6                                                                                            ; 37      ;
; T80se:z80_inst|T80:u0|RegAddrA[2]~13                                                                                                      ; 32      ;
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[2]                                                                                        ; 31      ;
; T80se:z80_inst|T80:u0|RegDIH[3]~0                                                                                                         ; 31      ;
; T80se:z80_inst|T80:u0|TState[0]                                                                                                           ; 30      ;
; T80se:z80_inst|T80:u0|ALU_Op_r[2]                                                                                                         ; 29      ;
; T80se:z80_inst|DI_Reg[7]                                                                                                                  ; 29      ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux146~2                                                                                            ; 29      ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Set_Addr_To[1]~5                                                                                    ; 28      ;
; T80se:z80_inst|T80:u0|TState[1]                                                                                                           ; 27      ;
; T80se:z80_inst|T80:u0|A[1]~8                                                                                                              ; 27      ;
; T80se:z80_inst|T80:u0|TState[2]                                                                                                           ; 27      ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux190~0                                                                                            ; 25      ;
; T80se:z80_inst|Equal3~0                                                                                                                   ; 24      ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux146~4                                                                                            ; 24      ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux255~0                                                                                            ; 24      ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux146~5                                                                                            ; 23      ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux100~4                                                                                            ; 23      ;
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[6]                                                                                        ; 22      ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|Q_t~83                                                                                                  ; 22      ;
; T80se:z80_inst|T80:u0|A[12]                                                                                                               ; 21      ;
; T80se:z80_inst|T80:u0|F[1]                                                                                                                ; 20      ;
; T80se:z80_inst|T80:u0|ALU_Op_r[3]                                                                                                         ; 20      ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|process_1~0                                                                                             ; 19      ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux253~4                                                                                            ; 18      ;
; T80se:z80_inst|T80:u0|Equal4~2                                                                                                            ; 18      ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux271~3                                                                                            ; 17      ;
; T80se:z80_inst|T80:u0|RegDIH[3]~1                                                                                                         ; 17      ;
; T80se:z80_inst|T80:u0|BusA[5]                                                                                                             ; 17      ;
; T80se:z80_inst|T80:u0|BusA[7]                                                                                                             ; 17      ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux101~0                                                                                            ; 17      ;
; T80se:z80_inst|T80:u0|Equal4~0                                                                                                            ; 17      ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux252~8                                                                                            ; 17      ;
; T80se:z80_inst|T80:u0|F~55                                                                                                                ; 16      ;
; T80se:z80_inst|T80:u0|BusA[1]~0                                                                                                           ; 16      ;
; T80se:z80_inst|T80:u0|RegAddrB[2]~3                                                                                                       ; 16      ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|Mux14~0                                                                                                 ; 16      ;
; T80se:z80_inst|T80:u0|A[1]~13                                                                                                             ; 16      ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux45~2                                                                                             ; 16      ;
; T80se:z80_inst|T80:u0|RegAddrC[2]                                                                                                         ; 16      ;
; T80se:z80_inst|T80:u0|ACC[3]~33                                                                                                           ; 15      ;
; T80se:z80_inst|T80:u0|PC[1]~27                                                                                                            ; 15      ;
; T80se:z80_inst|T80:u0|PC[1]~23                                                                                                            ; 15      ;
; T80se:z80_inst|T80:u0|Equal0~0                                                                                                            ; 15      ;
; T80se:z80_inst|T80:u0|Equal3~2                                                                                                            ; 15      ;
; T80se:z80_inst|T80:u0|BusB[7]~8                                                                                                           ; 14      ;
; T80se:z80_inst|T80:u0|process_0~5                                                                                                         ; 14      ;
; T80se:z80_inst|T80:u0|Equal0~2                                                                                                            ; 14      ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|Mux14~1                                                                                                 ; 14      ;
; T80se:z80_inst|T80:u0|Equal0~1                                                                                                            ; 14      ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux146~0                                                                                            ; 14      ;
; T80se:z80_inst|T80:u0|F[0]                                                                                                                ; 14      ;
; clk_div:clkdiv_inst|count_10Mhz[0]                                                                                                        ; 13      ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Set_BusB_To[0]~29                                                                                   ; 13      ;
; T80se:z80_inst|T80:u0|ACC[3]~5                                                                                                            ; 13      ;
; DI_CPU~25                                                                                                                                 ; 13      ;
; T80se:z80_inst|T80:u0|BusA[6]                                                                                                             ; 13      ;
; T80se:z80_inst|T80:u0|Read_To_Reg_r[0]                                                                                                    ; 13      ;
; T80se:z80_inst|T80:u0|BusA[1]                                                                                                             ; 13      ;
; T80se:z80_inst|T80:u0|A[8]~49                                                                                                             ; 13      ;
; video:video_inst|Add1~16                                                                                                                  ; 13      ;
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[7]                                                                                        ; 12      ;
; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[6]                                                                                   ; 12      ;
; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[5]                                                                                   ; 12      ;
; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[4]                                                                                   ; 12      ;
; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[3]                                                                                   ; 12      ;
; T80se:z80_inst|T80:u0|PC[11]~47                                                                                                           ; 12      ;
; T80se:z80_inst|T80:u0|PC[11]~46                                                                                                           ; 12      ;
; T80se:z80_inst|T80:u0|ACC[3]~6                                                                                                            ; 12      ;
; T80se:z80_inst|T80:u0|SP[8]~26                                                                                                            ; 12      ;
; T80se:z80_inst|T80:u0|SP[8]~25                                                                                                            ; 12      ;
; T80se:z80_inst|T80:u0|PC[1]~19                                                                                                            ; 12      ;
; T80se:z80_inst|T80:u0|PC[1]~18                                                                                                            ; 12      ;
; T80se:z80_inst|T80:u0|SP[4]~4                                                                                                             ; 12      ;
; T80se:z80_inst|T80:u0|SP[4]~3                                                                                                             ; 12      ;
; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_tr91:auto_generated|out_address_reg_a[1]                                          ; 12      ;
; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_tr91:auto_generated|out_address_reg_a[0]                                          ; 12      ;
; T80se:z80_inst|T80:u0|BusB[7]                                                                                                             ; 12      ;
; T80se:z80_inst|T80:u0|BusB[3]                                                                                                             ; 12      ;
; T80se:z80_inst|T80:u0|BusA[3]                                                                                                             ; 12      ;
; T80se:z80_inst|T80:u0|BusB[5]                                                                                                             ; 12      ;
; T80se:z80_inst|T80:u0|BusA[2]                                                                                                             ; 12      ;
; T80se:z80_inst|T80:u0|DO[7]~11                                                                                                            ; 12      ;
; T80se:z80_inst|T80:u0|DO[7]~10                                                                                                            ; 12      ;
; T80se:z80_inst|T80:u0|Save_ALU_r                                                                                                          ; 12      ;
; T80se:z80_inst|T80:u0|A[8]~48                                                                                                             ; 12      ;
; T80se:z80_inst|DI_Reg[6]                                                                                                                  ; 12      ;
; T80se:z80_inst|DI_Reg[5]                                                                                                                  ; 12      ;
; T80se:z80_inst|DI_Reg[4]                                                                                                                  ; 12      ;
; T80se:z80_inst|DI_Reg[3]                                                                                                                  ; 12      ;
; T80se:z80_inst|DI_Reg[2]                                                                                                                  ; 12      ;
; T80se:z80_inst|DI_Reg[1]                                                                                                                  ; 12      ;
; T80se:z80_inst|DI_Reg[0]                                                                                                                  ; 12      ;
; T80se:z80_inst|T80:u0|A[1]~7                                                                                                              ; 12      ;
; T80se:z80_inst|T80:u0|A[1]~6                                                                                                              ; 12      ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux110~2                                                                                            ; 12      ;
; T80se:z80_inst|T80:u0|Equal3~1                                                                                                            ; 12      ;
; video:video_inst|Add1~12                                                                                                                  ; 12      ;
; video:video_inst|Add1~10                                                                                                                  ; 12      ;
; video:video_inst|Add1~8                                                                                                                   ; 12      ;
; video:video_inst|Add1~6                                                                                                                   ; 12      ;
; video:video_inst|Add1~4                                                                                                                   ; 12      ;
; video:video_inst|Add1~2                                                                                                                   ; 12      ;
; video:video_inst|Add1~0                                                                                                                   ; 12      ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux250~5                                                                                            ; 11      ;
; video:video_inst|VGA_SYNC:vga_sync_inst|LessThan5~0                                                                                       ; 11      ;
; T80se:z80_inst|Equal1~0                                                                                                                   ; 11      ;
; T80se:z80_inst|T80:u0|BusA[4]                                                                                                             ; 11      ;
; T80se:z80_inst|T80:u0|BusB[1]                                                                                                             ; 11      ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux44~0                                                                                             ; 11      ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|Q_t~77                                                                                                  ; 11      ;
; T80se:z80_inst|T80:u0|F[6]                                                                                                                ; 11      ;
; SW[9]                                                                                                                                     ; 10      ;
; ps2_read                                                                                                                                  ; 10      ;
; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[2]~1                                                                                      ; 10      ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux251~3                                                                                            ; 10      ;
; video:video_inst|VGA_SYNC:vga_sync_inst|LessThan6~2                                                                                       ; 10      ;
; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[8]                                                                                        ; 10      ;
; DI_CPU[6]~39                                                                                                                              ; 10      ;
; T80se:z80_inst|T80:u0|BusA[0]                                                                                                             ; 10      ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux215~1                                                                                            ; 10      ;
; T80se:z80_inst|T80:u0|Equal4~4                                                                                                            ; 10      ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux287~6                                                                                            ; 10      ;
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[7]~0                                                                                        ; 9       ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Set_BusB_To[2]~20                                                                                   ; 9       ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux245~11                                                                                           ; 9       ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux265~2                                                                                            ; 9       ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux101~1                                                                                            ; 9       ;
; T80se:z80_inst|T80:u0|F~11                                                                                                                ; 9       ;
; DI_CPU[6]~41                                                                                                                              ; 9       ;
; DI_CPU~22                                                                                                                                 ; 9       ;
; DI_CPU~21                                                                                                                                 ; 9       ;
; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_tr91:auto_generated|decode_4oa:deep_decode|w_anode154w[2]~0                       ; 9       ;
; T80se:z80_inst|T80:u0|BusB[6]                                                                                                             ; 9       ;
; T80se:z80_inst|T80:u0|BusB[2]                                                                                                             ; 9       ;
; T80se:z80_inst|T80:u0|BusB[4]                                                                                                             ; 9       ;
; T80se:z80_inst|T80:u0|BusB[0]                                                                                                             ; 9       ;
; T80se:z80_inst|T80:u0|ACC[5]                                                                                                              ; 9       ;
; T80se:z80_inst|T80:u0|ACC[3]                                                                                                              ; 9       ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux102~0                                                                                            ; 9       ;
; T80se:z80_inst|T80:u0|Equal4~1                                                                                                            ; 9       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|Q_t~79                                                                                                  ; 9       ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux294~0                                                                                            ; 9       ;
; SRAM_DQ~8                                                                                                                                 ; 9       ;
; T80se:z80_inst|T80:u0|A[14]                                                                                                               ; 9       ;
; T80se:z80_inst|T80:u0|A[13]                                                                                                               ; 9       ;
; T80se:z80_inst|T80:u0|DO[7]                                                                                                               ; 9       ;
; T80se:z80_inst|T80:u0|DO[6]                                                                                                               ; 9       ;
; T80se:z80_inst|T80:u0|DO[5]                                                                                                               ; 9       ;
; T80se:z80_inst|T80:u0|DO[4]                                                                                                               ; 9       ;
; T80se:z80_inst|T80:u0|DO[3]                                                                                                               ; 9       ;
; T80se:z80_inst|T80:u0|DO[2]                                                                                                               ; 9       ;
; T80se:z80_inst|T80:u0|DO[1]                                                                                                               ; 9       ;
; T80se:z80_inst|T80:u0|DO[0]                                                                                                               ; 9       ;
; video:video_inst|VGA_SYNC:vga_sync_inst|process_0~11                                                                                      ; 8       ;
; T80se:z80_inst|T80:u0|BusB[7]~7                                                                                                           ; 8       ;
; \pinout_process:NUMBER0_sig[3]~2                                                                                                          ; 8       ;
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[7]~0                                                                                      ; 8       ;
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|LessThan0~0                                                                                         ; 8       ;
; ps2_ascii_reg1[1]~2                                                                                                                       ; 8       ;
; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_66l1:auto_generated|altsyncram_pal1:altsyncram1|decode_1oa:decode4|eq_node[0]~3 ; 8       ;
; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_tr91:auto_generated|decode_4oa:deep_decode|w_anode154w[2]~2                       ; 8       ;
; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_tr91:auto_generated|decode_4oa:deep_decode|w_anode141w[2]                         ; 8       ;
; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_tr91:auto_generated|decode_4oa:deep_decode|w_anode154w[2]~1                       ; 8       ;
; T80se:z80_inst|T80:u0|BusA[1]~1                                                                                                           ; 8       ;
; T80se:z80_inst|T80:u0|Mux96~0                                                                                                             ; 8       ;
; T80se:z80_inst|T80:u0|BusB[7]~6                                                                                                           ; 8       ;
; T80se:z80_inst|T80:u0|BusB[7]~5                                                                                                           ; 8       ;
; T80se:z80_inst|T80:u0|BusB[7]~4                                                                                                           ; 8       ;
; T80se:z80_inst|T80:u0|Mux84~0                                                                                                             ; 8       ;
; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_66l1:auto_generated|altsyncram_pal1:altsyncram1|address_reg_a[0]                ; 8       ;
; T80se:z80_inst|T80:u0|RegDIH[7]~17                                                                                                        ; 8       ;
; T80se:z80_inst|T80:u0|RegDIH[6]~15                                                                                                        ; 8       ;
; T80se:z80_inst|T80:u0|RegDIH[5]~13                                                                                                        ; 8       ;
; T80se:z80_inst|T80:u0|RegDIH[4]~11                                                                                                        ; 8       ;
; T80se:z80_inst|T80:u0|RegDIH[3]~9                                                                                                         ; 8       ;
; T80se:z80_inst|T80:u0|RegDIH[2]~7                                                                                                         ; 8       ;
; T80se:z80_inst|T80:u0|RegDIH[1]~5                                                                                                         ; 8       ;
; T80se:z80_inst|T80:u0|I[0]~0                                                                                                              ; 8       ;
; T80se:z80_inst|T80:u0|PC[11]~50                                                                                                           ; 8       ;
; T80se:z80_inst|T80:u0|ACC[3]~11                                                                                                           ; 8       ;
; T80se:z80_inst|T80:u0|ACC[3]~9                                                                                                            ; 8       ;
; T80se:z80_inst|T80:u0|SP[8]~29                                                                                                            ; 8       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[3][0]~7                                                                                          ; 8       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[0][0]~6                                                                                          ; 8       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[1][0]~5                                                                                          ; 8       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[2][0]~4                                                                                          ; 8       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[7][0]~3                                                                                          ; 8       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[4][0]~2                                                                                          ; 8       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[6][0]~1                                                                                          ; 8       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[5][0]~0                                                                                          ; 8       ;
; T80se:z80_inst|T80:u0|RegWEH~1                                                                                                            ; 8       ;
; T80se:z80_inst|T80:u0|RegDIH[0]~3                                                                                                         ; 8       ;
; T80se:z80_inst|T80:u0|TmpAddr[10]~43                                                                                                      ; 8       ;
; T80se:z80_inst|T80:u0|RegDIL[7]~15                                                                                                        ; 8       ;
; T80se:z80_inst|T80:u0|RegDIL[6]~13                                                                                                        ; 8       ;
; T80se:z80_inst|T80:u0|RegDIL[5]~11                                                                                                        ; 8       ;
; T80se:z80_inst|T80:u0|RegDIL[4]~9                                                                                                         ; 8       ;
; T80se:z80_inst|T80:u0|RegDIL[3]~7                                                                                                         ; 8       ;
; T80se:z80_inst|T80:u0|RegDIL[2]~5                                                                                                         ; 8       ;
; T80se:z80_inst|T80:u0|RegDIL[1]~3                                                                                                         ; 8       ;
; T80se:z80_inst|T80:u0|SP[4]~10                                                                                                            ; 8       ;
; T80se:z80_inst|T80:u0|TmpAddr[0]~31                                                                                                       ; 8       ;
; T80se:z80_inst|T80:u0|IR[7]~9                                                                                                             ; 8       ;
; DI_CPU~30                                                                                                                                 ; 8       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[3][0]~7                                                                                          ; 8       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[0][0]~6                                                                                          ; 8       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[1][0]~5                                                                                          ; 8       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[2][0]~4                                                                                          ; 8       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[7][0]~3                                                                                          ; 8       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[4][0]~2                                                                                          ; 8       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[6][0]~1                                                                                          ; 8       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[5][0]~0                                                                                          ; 8       ;
; T80se:z80_inst|T80:u0|RegWEL~1                                                                                                            ; 8       ;
; T80se:z80_inst|T80:u0|RegDIL[0]~1                                                                                                         ; 8       ;
; T80se:z80_inst|T80:u0|F[4]                                                                                                                ; 8       ;
; T80se:z80_inst|T80:u0|DO[7]~15                                                                                                            ; 8       ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux249~0                                                                                            ; 8       ;
; T80se:z80_inst|T80:u0|Save_Mux[6]~3                                                                                                       ; 8       ;
; T80se:z80_inst|T80:u0|Save_Mux[6]~2                                                                                                       ; 8       ;
; T80se:z80_inst|Equal2~0                                                                                                                   ; 8       ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux61~4                                                                                             ; 8       ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux215~0                                                                                            ; 8       ;
; T80se:z80_inst|T80:u0|PC[0]                                                                                                               ; 8       ;
; T80se:z80_inst|T80:u0|RegAddrA~0                                                                                                          ; 8       ;
; T80se:z80_inst|T80:u0|XY_State[1]                                                                                                         ; 8       ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux146~7                                                                                            ; 8       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|Q_t~89                                                                                                  ; 8       ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux126~0                                                                                            ; 8       ;
; T80se:z80_inst|T80:u0|F[2]                                                                                                                ; 8       ;
; \pinout_process:LEDR_sig[0]~0                                                                                                             ; 8       ;
; \pinout_process:LEDG_sig[0]~1                                                                                                             ; 8       ;
; \pinout_process:NUMBER2_sig[3]~0                                                                                                          ; 8       ;
; video:video_inst|Add1~14                                                                                                                  ; 8       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|Q_t~247                                                                                                 ; 7       ;
; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[7]                                                                                        ; 7       ;
; T80se:z80_inst|T80:u0|R[2]~12                                                                                                             ; 7       ;
; T80se:z80_inst|T80:u0|R[2]~11                                                                                                             ; 7       ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux264~5                                                                                            ; 7       ;
; T80se:z80_inst|T80:u0|Halt_FF                                                                                                             ; 7       ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux300~0                                                                                            ; 7       ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux92~5                                                                                             ; 7       ;
; T80se:z80_inst|T80:u0|ACC[7]                                                                                                              ; 7       ;
; T80se:z80_inst|T80:u0|ACC[6]                                                                                                              ; 7       ;
; T80se:z80_inst|T80:u0|ACC[4]                                                                                                              ; 7       ;
; T80se:z80_inst|T80:u0|ACC[2]                                                                                                              ; 7       ;
; T80se:z80_inst|T80:u0|ACC[1]                                                                                                              ; 7       ;
; T80se:z80_inst|T80:u0|ACC[0]                                                                                                              ; 7       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|Q_t~100                                                                                                 ; 7       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|Q_t~97                                                                                                  ; 7       ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux92~4                                                                                             ; 7       ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux260~0                                                                                            ; 7       ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux146~1                                                                                            ; 7       ;
; T80se:z80_inst|T80:u0|F[7]                                                                                                                ; 7       ;
; T80se:z80_inst|T80:u0|A[15]                                                                                                               ; 7       ;
; \pinout_process:NUMBER3_sig[3]                                                                                                            ; 7       ;
; \pinout_process:NUMBER3_sig[2]                                                                                                            ; 7       ;
; \pinout_process:NUMBER3_sig[1]                                                                                                            ; 7       ;
; \pinout_process:NUMBER3_sig[0]                                                                                                            ; 7       ;
; \pinout_process:NUMBER2_sig[3]                                                                                                            ; 7       ;
; \pinout_process:NUMBER2_sig[2]                                                                                                            ; 7       ;
; \pinout_process:NUMBER2_sig[1]                                                                                                            ; 7       ;
; \pinout_process:NUMBER2_sig[0]                                                                                                            ; 7       ;
; \pinout_process:NUMBER1_sig[3]                                                                                                            ; 7       ;
; \pinout_process:NUMBER1_sig[2]                                                                                                            ; 7       ;
; \pinout_process:NUMBER1_sig[1]                                                                                                            ; 7       ;
; \pinout_process:NUMBER1_sig[0]                                                                                                            ; 7       ;
; \pinout_process:NUMBER0_sig[3]                                                                                                            ; 7       ;
; \pinout_process:NUMBER0_sig[2]                                                                                                            ; 7       ;
; \pinout_process:NUMBER0_sig[1]                                                                                                            ; 7       ;
; \pinout_process:NUMBER0_sig[0]                                                                                                            ; 7       ;
; T80se:z80_inst|T80:u0|DO[7]~7                                                                                                             ; 7       ;
; T80se:z80_inst|T80:u0|DO[6]~6                                                                                                             ; 7       ;
; T80se:z80_inst|T80:u0|DO[5]~5                                                                                                             ; 7       ;
; T80se:z80_inst|T80:u0|DO[4]~4                                                                                                             ; 7       ;
; T80se:z80_inst|T80:u0|DO[3]~3                                                                                                             ; 7       ;
; T80se:z80_inst|T80:u0|DO[2]~2                                                                                                             ; 7       ;
; T80se:z80_inst|T80:u0|DO[1]~1                                                                                                             ; 7       ;
; T80se:z80_inst|T80:u0|DO[0]~0                                                                                                             ; 7       ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux251~20                                                                                           ; 6       ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux252~16                                                                                           ; 6       ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Set_BusB_To[1]~11                                                                                   ; 6       ;
; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[8]                                                                                        ; 6       ;
; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[4]                                                                                        ; 6       ;
; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[3]                                                                                        ; 6       ;
; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[2]                                                                                        ; 6       ;
; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[9]                                                                                        ; 6       ;
; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[5]                                                                                        ; 6       ;
; T80se:z80_inst|T80:u0|BTR_r                                                                                                               ; 6       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|Q_t~238                                                                                                 ; 6       ;
; T80se:z80_inst|T80:u0|SP[8]~2                                                                                                             ; 6       ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux101~2                                                                                            ; 6       ;
; DI_CPU[3]~42                                                                                                                              ; 6       ;
; DI_CPU~31                                                                                                                                 ; 6       ;
; DI_CPU[3]~28                                                                                                                              ; 6       ;
; DI_CPU[3]~24                                                                                                                              ; 6       ;
; DI_CPU~23                                                                                                                                 ; 6       ;
; T80se:z80_inst|T80:u0|Alternate                                                                                                           ; 6       ;
; T80se:z80_inst|T80:u0|RegAddrB~0                                                                                                          ; 6       ;
; T80se:z80_inst|T80:u0|Save_Mux[7]~21                                                                                                      ; 6       ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux146~8                                                                                            ; 6       ;
; T80se:z80_inst|T80:u0|XY_Ind                                                                                                              ; 6       ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux259~4                                                                                            ; 6       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|Q_t~99                                                                                                  ; 6       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|Q_t~84                                                                                                  ; 6       ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux261~8                                                                                            ; 6       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|Q_t~82                                                                                                  ; 6       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|Q_t~80                                                                                                  ; 6       ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux71~0                                                                                             ; 6       ;
; T80se:z80_inst|T80:u0|process_0~2                                                                                                         ; 6       ;
; T80se:z80_inst|T80:u0|Equal3~0                                                                                                            ; 6       ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux217~0                                                                                            ; 6       ;
; T80se:z80_inst|MREQ_n                                                                                                                     ; 6       ;
; clk_div:clkdiv_inst|LessThan1~0                                                                                                           ; 5       ;
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]                                                                                            ; 5       ;
; ps2kbd:ps2_kbd_inst|WideNor0~2                                                                                                            ; 5       ;
; clk_div:clkdiv_inst|count_357Mhz[3]                                                                                                       ; 5       ;
; clk_div:clkdiv_inst|count_357Mhz[2]                                                                                                       ; 5       ;
; clk_div:clkdiv_inst|count_357Mhz[1]                                                                                                       ; 5       ;
; video:video_inst|VGA_SYNC:vga_sync_inst|Equal0~1                                                                                          ; 5       ;
; T80se:z80_inst|T80:u0|F[3]~35                                                                                                             ; 5       ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux263~5                                                                                            ; 5       ;
; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[7]                                                                                        ; 5       ;
; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[6]                                                                                        ; 5       ;
; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[5]                                                                                        ; 5       ;
; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[9]                                                                                        ; 5       ;
; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[0]                                                                                        ; 5       ;
; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[1]                                                                                        ; 5       ;
; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[6]                                                                                        ; 5       ;
; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[4]                                                                                        ; 5       ;
; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[3]                                                                                        ; 5       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|Q_t~237                                                                                                 ; 5       ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux270~0                                                                                            ; 5       ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Special_LD[1]~0                                                                                     ; 5       ;
; DI_CPU[6]~54                                                                                                                              ; 5       ;
; DI_CPU[6]~53                                                                                                                              ; 5       ;
; DI_CPU~38                                                                                                                                 ; 5       ;
; DI_CPU~27                                                                                                                                 ; 5       ;
; DI_CPU~20                                                                                                                                 ; 5       ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux272~2                                                                                            ; 5       ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux272~1                                                                                            ; 5       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|Q_t~203                                                                                                 ; 5       ;
; T80se:z80_inst|T80:u0|SP[15]                                                                                                              ; 5       ;
; T80se:z80_inst|T80:u0|SP[14]                                                                                                              ; 5       ;
; T80se:z80_inst|T80:u0|SP[13]                                                                                                              ; 5       ;
; T80se:z80_inst|T80:u0|SP[12]                                                                                                              ; 5       ;
; T80se:z80_inst|T80:u0|SP[11]                                                                                                              ; 5       ;
; T80se:z80_inst|T80:u0|SP[10]                                                                                                              ; 5       ;
; T80se:z80_inst|T80:u0|SP[9]                                                                                                               ; 5       ;
; T80se:z80_inst|T80:u0|SP[8]                                                                                                               ; 5       ;
; T80se:z80_inst|T80:u0|PC[7]                                                                                                               ; 5       ;
; T80se:z80_inst|T80:u0|SP[7]                                                                                                               ; 5       ;
; T80se:z80_inst|T80:u0|PC[6]                                                                                                               ; 5       ;
; T80se:z80_inst|T80:u0|SP[6]                                                                                                               ; 5       ;
; T80se:z80_inst|T80:u0|PC[5]                                                                                                               ; 5       ;
; T80se:z80_inst|T80:u0|SP[5]                                                                                                               ; 5       ;
; T80se:z80_inst|T80:u0|PC[4]                                                                                                               ; 5       ;
; T80se:z80_inst|T80:u0|SP[4]                                                                                                               ; 5       ;
; T80se:z80_inst|T80:u0|PC[3]                                                                                                               ; 5       ;
; T80se:z80_inst|T80:u0|SP[3]                                                                                                               ; 5       ;
; T80se:z80_inst|T80:u0|PC[2]                                                                                                               ; 5       ;
; T80se:z80_inst|T80:u0|SP[2]                                                                                                               ; 5       ;
; T80se:z80_inst|T80:u0|PC[1]                                                                                                               ; 5       ;
; T80se:z80_inst|T80:u0|SP[1]                                                                                                               ; 5       ;
; T80se:z80_inst|T80:u0|SP[0]                                                                                                               ; 5       ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux75~19                                                                                            ; 5       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|Q_t~81                                                                                                  ; 5       ;
; T80se:z80_inst|T80:u0|Equal3~3                                                                                                            ; 5       ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux272~0                                                                                            ; 5       ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux297~0                                                                                            ; 5       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|Q_t~76                                                                                                  ; 5       ;
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR                                                                                           ; 5       ;
; T80se:z80_inst|T80:u0|PC[15]                                                                                                              ; 5       ;
; T80se:z80_inst|T80:u0|PC[14]                                                                                                              ; 5       ;
; T80se:z80_inst|T80:u0|PC[13]                                                                                                              ; 5       ;
; T80se:z80_inst|T80:u0|PC[12]                                                                                                              ; 5       ;
; T80se:z80_inst|T80:u0|PC[11]                                                                                                              ; 5       ;
; T80se:z80_inst|T80:u0|PC[10]                                                                                                              ; 5       ;
; T80se:z80_inst|T80:u0|PC[9]                                                                                                               ; 5       ;
; T80se:z80_inst|T80:u0|PC[8]                                                                                                               ; 5       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|Mux12~1                                                                                                 ; 4       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|Mux10~1                                                                                                 ; 4       ;
; T80se:z80_inst|T80:u0|ACC[3]~34                                                                                                           ; 4       ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux92~6                                                                                             ; 4       ;
; clk_div:clkdiv_inst|count_100Khz[1]                                                                                                       ; 4       ;
; clk_div:clkdiv_inst|count_100Khz[0]                                                                                                       ; 4       ;
; clk_div:clkdiv_inst|count_10Khz[1]                                                                                                        ; 4       ;
; clk_div:clkdiv_inst|count_10Khz[0]                                                                                                        ; 4       ;
; clk_div:clkdiv_inst|count_1Khz[1]                                                                                                         ; 4       ;
; clk_div:clkdiv_inst|count_1Khz[0]                                                                                                         ; 4       ;
; clk_div:clkdiv_inst|count_100hz[1]                                                                                                        ; 4       ;
; clk_div:clkdiv_inst|count_100hz[0]                                                                                                        ; 4       ;
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]~1                                                                                          ; 4       ;
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]                                                                                            ; 4       ;
; clk_div:clkdiv_inst|count_357Mhz[0]                                                                                                       ; 4       ;
; T80se:z80_inst|T80:u0|F[3]~58                                                                                                             ; 4       ;
; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_66l1:auto_generated|altsyncram_pal1:altsyncram1|decode_1oa:decode4|eq_node[1]~2 ; 4       ;
; video:video_inst|VGA_SYNC:vga_sync_inst|process_0~10                                                                                      ; 4       ;
; video:video_inst|VGA_SYNC:vga_sync_inst|Equal0~2                                                                                          ; 4       ;
; T80se:z80_inst|T80:u0|Equal4~5                                                                                                            ; 4       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|Q_t~264                                                                                                 ; 4       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|Q_t~246                                                                                                 ; 4       ;
; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_66l1:auto_generated|altsyncram_pal1:altsyncram1|mux_hib:mux5|result_node[7]~7   ; 4       ;
; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_66l1:auto_generated|altsyncram_pal1:altsyncram1|mux_hib:mux5|result_node[6]~6   ; 4       ;
; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_66l1:auto_generated|altsyncram_pal1:altsyncram1|mux_hib:mux5|result_node[5]~5   ; 4       ;
; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_66l1:auto_generated|altsyncram_pal1:altsyncram1|mux_hib:mux5|result_node[4]~4   ; 4       ;
; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_66l1:auto_generated|altsyncram_pal1:altsyncram1|mux_hib:mux5|result_node[3]~3   ; 4       ;
; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_66l1:auto_generated|altsyncram_pal1:altsyncram1|mux_hib:mux5|result_node[2]~2   ; 4       ;
; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_66l1:auto_generated|altsyncram_pal1:altsyncram1|mux_hib:mux5|result_node[1]~1   ; 4       ;
; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_66l1:auto_generated|altsyncram_pal1:altsyncram1|mux_hib:mux5|result_node[0]~0   ; 4       ;
; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[2]                                                                                      ; 4       ;
; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[1]                                                                                      ; 4       ;
; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[0]                                                                                      ; 4       ;
; cram_wea~1                                                                                                                                ; 4       ;
; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[2]                                                                                        ; 4       ;
; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[1]                                                                                        ; 4       ;
; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[0]                                                                                        ; 4       ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux69~0                                                                                             ; 4       ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Inc_PC~3                                                                                            ; 4       ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux293~2                                                                                            ; 4       ;
; T80se:z80_inst|T80:u0|process_0~8                                                                                                         ; 4       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|Mux28~5                                                                                                 ; 4       ;
; T80se:z80_inst|T80:u0|process_0~7                                                                                                         ; 4       ;
; T80se:z80_inst|T80:u0|Arith16_r                                                                                                           ; 4       ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux295~0                                                                                            ; 4       ;
; DI_CPU[6]~55                                                                                                                              ; 4       ;
; T80se:z80_inst|T80:u0|IR~8                                                                                                                ; 4       ;
; T80se:z80_inst|T80:u0|ISet~1                                                                                                              ; 4       ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux273~2                                                                                            ; 4       ;
; T80se:z80_inst|T80:u0|RegAddrA~8                                                                                                          ; 4       ;
; T80se:z80_inst|T80:u0|RegAddrA[1]~5                                                                                                       ; 4       ;
; T80se:z80_inst|T80:u0|IntE_FF2~2                                                                                                          ; 4       ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux272~3                                                                                            ; 4       ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux274~4                                                                                            ; 4       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|Mux8~4                                                                                                  ; 4       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|DAA_Q[7]~14                                                                                             ; 4       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|Mux21~2                                                                                                 ; 4       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|Q_t~218                                                                                                 ; 4       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|Q_t~214                                                                                                 ; 4       ;
; T80se:z80_inst|T80:u0|DO[7]~14                                                                                                            ; 4       ;
; T80se:z80_inst|T80:u0|Read_To_Reg_r[3]                                                                                                    ; 4       ;
; T80se:z80_inst|T80:u0|Read_To_Reg_r[1]                                                                                                    ; 4       ;
; T80se:z80_inst|T80:u0|Read_To_Reg_r[2]                                                                                                    ; 4       ;
; T80se:z80_inst|T80:u0|SP[8]~0                                                                                                             ; 4       ;
; video:video_inst|VGA_SYNC:vga_sync_inst|blue_out~1                                                                                        ; 4       ;
; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[1]                                                                                   ; 4       ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux155~0                                                                                            ; 4       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|Mux32~4                                                                                                ; 4       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|Mux33~4                                                                                                ; 4       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|Mux34~4                                                                                                ; 4       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|Mux35~4                                                                                                ; 4       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|Mux36~4                                                                                                ; 4       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|Mux37~4                                                                                                ; 4       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|Mux38~4                                                                                                ; 4       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|Mux39~4                                                                                                ; 4       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|Mux40~4                                                                                                ; 4       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|Mux41~4                                                                                                ; 4       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|Mux42~4                                                                                                ; 4       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|Mux43~4                                                                                                ; 4       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|Mux44~4                                                                                                ; 4       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|Mux45~4                                                                                                ; 4       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|Mux46~4                                                                                                ; 4       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|Q_t~111                                                                                                 ; 4       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|Q_t~107                                                                                                 ; 4       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|Q_t~103                                                                                                 ; 4       ;
; T80se:z80_inst|T80:u0|NextIs_XY_Fetch~2                                                                                                   ; 4       ;
; T80se:z80_inst|T80:u0|XY_State[0]                                                                                                         ; 4       ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Set_Addr_To~0                                                                                       ; 4       ;
; T80se:z80_inst|T80:u0|Equal4~3                                                                                                            ; 4       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|Mux47~4                                                                                                ; 4       ;
; \pinout_process:LEDG_sig[0]~0                                                                                                             ; 4       ;
; T80se:z80_inst|RD_n                                                                                                                       ; 4       ;
; PS2_DAT~0                                                                                                                                 ; 3       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|Mux15~1                                                                                                 ; 3       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|Mux14~3                                                                                                 ; 3       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|Mux13~1                                                                                                 ; 3       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|Mux11~1                                                                                                 ; 3       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|Mux9~1                                                                                                  ; 3       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|Q_t~363                                                                                                 ; 3       ;
; T80se:z80_inst|T80:u0|F~78                                                                                                                ; 3       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|Q_t~359                                                                                                 ; 3       ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux12~2                                                                                             ; 3       ;
; T80se:z80_inst|T80:u0|TmpAddr[0]~54                                                                                                       ; 3       ;
; T80se:z80_inst|T80:u0|R[2]~27                                                                                                             ; 3       ;
; T80se:z80_inst|T80:u0|process_1~9                                                                                                         ; 3       ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux275~2                                                                                            ; 3       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|Q_t~339                                                                                                 ; 3       ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux110~3                                                                                            ; 3       ;
; clk_div:clkdiv_inst|count_100Khz[2]                                                                                                       ; 3       ;
; clk_div:clkdiv_inst|count_10Khz[2]                                                                                                        ; 3       ;
; clk_div:clkdiv_inst|count_1Khz[2]                                                                                                         ; 3       ;
; clk_div:clkdiv_inst|count_100hz[2]                                                                                                        ; 3       ;
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|filter[2]                                                                                           ; 3       ;
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]                                                                                            ; 3       ;
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered                                                                               ; 3       ;
; clk_div:clkdiv_inst|count_10Mhz[2]                                                                                                        ; 3       ;
; clk_div:clkdiv_inst|count_10Mhz[1]                                                                                                        ; 3       ;
; video:video_inst|VGA_SYNC:vga_sync_inst|LessThan1~2                                                                                       ; 3       ;
; T80se:z80_inst|T80:u0|Pre_XY_F_M[2]~1                                                                                                     ; 3       ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux251~5                                                                                            ; 3       ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux69~2                                                                                             ; 3       ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux215~2                                                                                            ; 3       ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux251~4                                                                                            ; 3       ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux122~4                                                                                            ; 3       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|Q_t~269                                                                                                 ; 3       ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux247~9                                                                                            ; 3       ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux235~0                                                                                            ; 3       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|Q_t~242                                                                                                 ; 3       ;
; cram_wea~0                                                                                                                                ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|Mux0~4                                                                                                 ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|Mux1~4                                                                                                 ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|Mux2~4                                                                                                 ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|Mux3~4                                                                                                 ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|Mux4~4                                                                                                 ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|Mux5~4                                                                                                 ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|Mux6~4                                                                                                 ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|Mux7~4                                                                                                 ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|Mux8~4                                                                                                 ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|Mux9~4                                                                                                 ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|Mux10~4                                                                                                ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|Mux11~4                                                                                                ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|Mux12~4                                                                                                ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|Mux13~4                                                                                                ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|Mux14~4                                                                                                ; 3       ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux199~1                                                                                            ; 3       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|Q_t~235                                                                                                 ; 3       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|Q_t~234                                                                                                 ; 3       ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux102~1                                                                                            ; 3       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|Q_t~232                                                                                                 ; 3       ;
; \random:rand_temp[4]                                                                                                                      ; 3       ;
; \random:rand_temp[5]                                                                                                                      ; 3       ;
; T80se:z80_inst|T80:u0|Pre_XY_F_M[0]                                                                                                       ; 3       ;
; T80se:z80_inst|T80:u0|process_7~1                                                                                                         ; 3       ;
; T80se:z80_inst|T80:u0|IncDecZ                                                                                                             ; 3       ;
; \random:rand_temp[6]                                                                                                                      ; 3       ;
; \random:rand_temp[14]                                                                                                                     ; 3       ;
; \random:rand_temp[7]                                                                                                                      ; 3       ;
; DI_CPU[6]~32                                                                                                                              ; 3       ;
; DI_CPU~26                                                                                                                                 ; 3       ;
; T80se:z80_inst|T80:u0|process_3~1                                                                                                         ; 3       ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux272~6                                                                                            ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|Mux15~4                                                                                                ; 3       ;
; T80se:z80_inst|T80:u0|RegAddrA~4                                                                                                          ; 3       ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux272~5                                                                                            ; 3       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|Q_t~231                                                                                                 ; 3       ;
; T80se:z80_inst|T80:u0|process_3~0                                                                                                         ; 3       ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux274~1                                                                                            ; 3       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|Q_t~223                                                                                                 ; 3       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|DAA_Q[6]~13                                                                                             ; 3       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|Q_t~220                                                                                                 ; 3       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|LessThan0~2                                                                                             ; 3       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|LessThan3~1                                                                                             ; 3       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|DAA_Q[4]~7                                                                                              ; 3       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|Q_t~216                                                                                                 ; 3       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|Mux7~2                                                                                                  ; 3       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|DAA_Q[3]~5                                                                                              ; 3       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|Q_t~212                                                                                                 ; 3       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|DAA_Q[2]~3                                                                                              ; 3       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|Q_t~211                                                                                                 ; 3       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|Mux7~1                                                                                                  ; 3       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|Q_t~209                                                                                                 ; 3       ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux199~0                                                                                            ; 3       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|Q_t~207                                                                                                 ; 3       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|Mux22~1                                                                                                 ; 3       ;
; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[8]                                                                                      ; 3       ;
; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[7]                                                                                      ; 3       ;
; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[6]                                                                                      ; 3       ;
; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[5]                                                                                      ; 3       ;
; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[0]                                                                                   ; 3       ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux262~11                                                                                           ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[3][7]                                                                                            ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[0][7]                                                                                            ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[1][7]                                                                                            ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[2][7]                                                                                            ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[7][7]                                                                                            ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[4][7]                                                                                            ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[6][7]                                                                                            ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[5][7]                                                                                            ; 3       ;
; T80se:z80_inst|T80:u0|TmpAddr[15]                                                                                                         ; 3       ;
; T80se:z80_inst|T80:u0|TmpAddr[14]                                                                                                         ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[3][6]                                                                                            ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[0][6]                                                                                            ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[1][6]                                                                                            ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[2][6]                                                                                            ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[7][6]                                                                                            ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[4][6]                                                                                            ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[6][6]                                                                                            ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[5][6]                                                                                            ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[3][5]                                                                                            ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[0][5]                                                                                            ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[1][5]                                                                                            ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[2][5]                                                                                            ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[7][5]                                                                                            ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[4][5]                                                                                            ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[6][5]                                                                                            ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[5][5]                                                                                            ; 3       ;
; T80se:z80_inst|T80:u0|TmpAddr[13]                                                                                                         ; 3       ;
; T80se:z80_inst|T80:u0|TmpAddr[12]                                                                                                         ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[3][4]                                                                                            ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[0][4]                                                                                            ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[1][4]                                                                                            ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[2][4]                                                                                            ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[7][4]                                                                                            ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[4][4]                                                                                            ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[6][4]                                                                                            ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[5][4]                                                                                            ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[3][3]                                                                                            ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[0][3]                                                                                            ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[1][3]                                                                                            ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[2][3]                                                                                            ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[7][3]                                                                                            ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[4][3]                                                                                            ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[6][3]                                                                                            ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[5][3]                                                                                            ; 3       ;
; T80se:z80_inst|T80:u0|TmpAddr[11]                                                                                                         ; 3       ;
; T80se:z80_inst|T80:u0|TmpAddr[10]                                                                                                         ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[3][2]                                                                                            ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[0][2]                                                                                            ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[1][2]                                                                                            ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[2][2]                                                                                            ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[7][2]                                                                                            ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[4][2]                                                                                            ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[6][2]                                                                                            ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[5][2]                                                                                            ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[3][1]                                                                                            ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[0][1]                                                                                            ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[1][1]                                                                                            ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[2][1]                                                                                            ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[7][1]                                                                                            ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[4][1]                                                                                            ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[6][1]                                                                                            ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[5][1]                                                                                            ; 3       ;
; T80se:z80_inst|T80:u0|TmpAddr[9]                                                                                                          ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[3][0]                                                                                            ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[0][0]                                                                                            ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[1][0]                                                                                            ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[2][0]                                                                                            ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[7][0]                                                                                            ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[4][0]                                                                                            ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[6][0]                                                                                            ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[5][0]                                                                                            ; 3       ;
; T80se:z80_inst|T80:u0|TmpAddr[8]                                                                                                          ; 3       ;
; T80se:z80_inst|T80:u0|R[7]                                                                                                                ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[3][7]                                                                                            ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[0][7]                                                                                            ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[1][7]                                                                                            ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[2][7]                                                                                            ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[7][7]                                                                                            ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[4][7]                                                                                            ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[6][7]                                                                                            ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[5][7]                                                                                            ; 3       ;
; T80se:z80_inst|T80:u0|TmpAddr[7]                                                                                                          ; 3       ;
; T80se:z80_inst|T80:u0|TmpAddr[6]                                                                                                          ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[3][6]                                                                                            ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[0][6]                                                                                            ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[1][6]                                                                                            ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[2][6]                                                                                            ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[7][6]                                                                                            ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[4][6]                                                                                            ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[6][6]                                                                                            ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[5][6]                                                                                            ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[3][5]                                                                                            ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[0][5]                                                                                            ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[1][5]                                                                                            ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[2][5]                                                                                            ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[7][5]                                                                                            ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[4][5]                                                                                            ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[6][5]                                                                                            ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[5][5]                                                                                            ; 3       ;
; T80se:z80_inst|T80:u0|TmpAddr[5]                                                                                                          ; 3       ;
; T80se:z80_inst|T80:u0|TmpAddr[4]                                                                                                          ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[3][4]                                                                                            ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[0][4]                                                                                            ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[1][4]                                                                                            ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[2][4]                                                                                            ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[7][4]                                                                                            ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[4][4]                                                                                            ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[6][4]                                                                                            ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[5][4]                                                                                            ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[3][3]                                                                                            ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[0][3]                                                                                            ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[1][3]                                                                                            ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[2][3]                                                                                            ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[7][3]                                                                                            ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[4][3]                                                                                            ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[6][3]                                                                                            ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[5][3]                                                                                            ; 3       ;
; T80se:z80_inst|T80:u0|TmpAddr[3]                                                                                                          ; 3       ;
; T80se:z80_inst|T80:u0|TmpAddr[2]                                                                                                          ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[3][2]                                                                                            ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[0][2]                                                                                            ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[1][2]                                                                                            ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[2][2]                                                                                            ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[7][2]                                                                                            ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[4][2]                                                                                            ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[6][2]                                                                                            ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[5][2]                                                                                            ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[3][1]                                                                                            ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[0][1]                                                                                            ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[1][1]                                                                                            ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[2][1]                                                                                            ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[7][1]                                                                                            ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[4][1]                                                                                            ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[6][1]                                                                                            ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[5][1]                                                                                            ; 3       ;
; T80se:z80_inst|T80:u0|TmpAddr[1]                                                                                                          ; 3       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|Q_t~156                                                                                                 ; 3       ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux262~4                                                                                            ; 3       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|Q_t~149                                                                                                 ; 3       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|Q_t~141                                                                                                 ; 3       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|Q_t~129                                                                                                 ; 3       ;
; T80se:z80_inst|T80:u0|TmpAddr[0]                                                                                                          ; 3       ;
; T80se:z80_inst|T80:u0|process_1~4                                                                                                         ; 3       ;
; T80se:z80_inst|T80:u0|A[1]~2                                                                                                              ; 3       ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux217~1                                                                                            ; 3       ;
; T80se:z80_inst|T80:u0|NextIs_XY_Fetch~0                                                                                                   ; 3       ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux218~0                                                                                            ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[3][0]                                                                                            ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[0][0]                                                                                            ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[1][0]                                                                                            ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[2][0]                                                                                            ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[7][0]                                                                                            ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[4][0]                                                                                            ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[6][0]                                                                                            ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[5][0]                                                                                            ; 3       ;
; T80se:z80_inst|WR_n                                                                                                                       ; 3       ;
; clk_div:clkdiv_inst|count_1Mhz[4]                                                                                                         ; 3       ;
; clk_div:clkdiv_inst|count_1Mhz[3]                                                                                                         ; 3       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|Add1~2                                                                                                  ; 3       ;
; T80se:z80_inst|T80:u0|R[6]                                                                                                                ; 3       ;
; T80se:z80_inst|T80:u0|R[5]                                                                                                                ; 3       ;
; T80se:z80_inst|T80:u0|R[4]                                                                                                                ; 3       ;
; T80se:z80_inst|T80:u0|R[3]                                                                                                                ; 3       ;
; T80se:z80_inst|T80:u0|R[2]                                                                                                                ; 3       ;
; T80se:z80_inst|T80:u0|R[1]                                                                                                                ; 3       ;
; T80se:z80_inst|T80:u0|R[0]                                                                                                                ; 3       ;
; CLOCK_50                                                                                                                                  ; 2       ;
; SW[8]                                                                                                                                     ; 2       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|Q_t~361                                                                                                 ; 2       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|Q_t~356                                                                                                 ; 2       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|Q_t~354                                                                                                 ; 2       ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux252~18                                                                                           ; 2       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|Q_t~346                                                                                                 ; 2       ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux258~2                                                                                            ; 2       ;
; DI_CPU~90                                                                                                                                 ; 2       ;
; DI_CPU[7]~89                                                                                                                              ; 2       ;
; T80se:z80_inst|T80:u0|process_1~10                                                                                                        ; 2       ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux298~7                                                                                            ; 2       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|Q_t~338                                                                                                 ; 2       ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux75~22                                                                                            ; 2       ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux112~3                                                                                            ; 2       ;
; clk_div:clkdiv_inst|clock_100hz_int                                                                                                       ; 2       ;
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|filter[6]                                                                                           ; 2       ;
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|filter[1]                                                                                           ; 2       ;
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|filter[5]                                                                                           ; 2       ;
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|filter[3]                                                                                           ; 2       ;
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|filter[7]                                                                                           ; 2       ;
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|filter[4]                                                                                           ; 2       ;
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[2]                                                                                          ; 2       ;
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[6]                                                                                          ; 2       ;
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[1]                                                                                          ; 2       ;
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[3]                                                                                          ; 2       ;
; ps2kbd:ps2_kbd_inst|Equal0~1                                                                                                              ; 2       ;
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[4]                                                                                          ; 2       ;
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[5]                                                                                          ; 2       ;
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]                                                                                            ; 2       ;
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[7]                                                                                          ; 2       ;
; Equal22~2                                                                                                                                 ; 2       ;
; ps2kbd:ps2_kbd_inst|WideOr6~11                                                                                                            ; 2       ;
; ps2kbd:ps2_kbd_inst|WideOr0~14                                                                                                            ; 2       ;
; Equal22~1                                                                                                                                 ; 2       ;
; ps2kbd:ps2_kbd_inst|WideOr4~15                                                                                                            ; 2       ;
; ps2kbd:ps2_kbd_inst|WideOr4~5                                                                                                             ; 2       ;
; ps2kbd:ps2_kbd_inst|WideOr1~14                                                                                                            ; 2       ;
; ps2kbd:ps2_kbd_inst|WideOr1~9                                                                                                             ; 2       ;
; Equal22~0                                                                                                                                 ; 2       ;
; ps2kbd:ps2_kbd_inst|WideOr2~17                                                                                                            ; 2       ;
; ps2kbd:ps2_kbd_inst|WideOr2~13                                                                                                            ; 2       ;
; ps2kbd:ps2_kbd_inst|WideNor0~16                                                                                                           ; 2       ;
; ps2kbd:ps2_kbd_inst|WideOr3~17                                                                                                            ; 2       ;
; ps2kbd:ps2_kbd_inst|WideOr3~12                                                                                                            ; 2       ;
; ps2kbd:ps2_kbd_inst|WideOr3~9                                                                                                             ; 2       ;
; ps2kbd:ps2_kbd_inst|WideOr3~2                                                                                                             ; 2       ;
; ps2_ascii_reg1[1]~1                                                                                                                       ; 2       ;
; ps2kbd:ps2_kbd_inst|WideOr5~15                                                                                                            ; 2       ;
; ps2kbd:ps2_kbd_inst|WideOr2~2                                                                                                             ; 2       ;
; T80se:z80_inst|T80:u0|F[3]~70                                                                                                             ; 2       ;
; T80se:z80_inst|T80:u0|F[3]~64                                                                                                             ; 2       ;
; T80se:z80_inst|T80:u0|F[3]~59                                                                                                             ; 2       ;
; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[3]                                                                                      ; 2       ;
; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[4]                                                                                      ; 2       ;
; video:video_inst|VGA_SYNC:vga_sync_inst|LessThan1~1                                                                                       ; 2       ;
; video:video_inst|VGA_SYNC:vga_sync_inst|LessThan1~0                                                                                       ; 2       ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux72~11                                                                                            ; 2       ;
; T80se:z80_inst|T80:u0|F[3]                                                                                                                ; 2       ;
; T80se:z80_inst|T80:u0|F[3]~37                                                                                                             ; 2       ;
; T80se:z80_inst|T80:u0|F~36                                                                                                                ; 2       ;
; T80se:z80_inst|T80:u0|F[5]                                                                                                                ; 2       ;
; clk_div:clkdiv_inst|clock_10Mhz_int                                                                                                       ; 2       ;
; clk_div:clkdiv_inst|clock_357Mhz_int                                                                                                      ; 2       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|Q_t~323                                                                                                 ; 2       ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux123~2                                                                                            ; 2       ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux281~8                                                                                            ; 2       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|Q_t~308                                                                                                 ; 2       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|Q_t~302                                                                                                 ; 2       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|Q_t~296                                                                                                 ; 2       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|Q_t~294                                                                                                 ; 2       ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux254~0                                                                                            ; 2       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|Q_t~287                                                                                                 ; 2       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|Q_t~284                                                                                                 ; 2       ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux71~2                                                                                             ; 2       ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux98~0                                                                                             ; 2       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|Q_t~279                                                                                                 ; 2       ;
; T80se:z80_inst|T80:u0|ALU_Op_r~12                                                                                                         ; 2       ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux283~7                                                                                            ; 2       ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux205~2                                                                                            ; 2       ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Set_BusB_To[0]~21                                                                                   ; 2       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|Q_t~265                                                                                                 ; 2       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|Q_t~261                                                                                                 ; 2       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|Q_t~258                                                                                                 ; 2       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|Q_t~249                                                                                                 ; 2       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|Q_t~244                                                                                                 ; 2       ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Set_BusB_To[2]~10                                                                                   ; 2       ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux218~1                                                                                            ; 2       ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux61~13                                                                                            ; 2       ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux61~10                                                                                            ; 2       ;
; video:video_inst|VGA_SYNC:vga_sync_inst|LessThan6~0                                                                                       ; 2       ;
; clk_div:clkdiv_inst|clock_25Mhz_int                                                                                                       ; 2       ;
; video:video_inst|VGA_SYNC:vga_sync_inst|process_0~5                                                                                       ; 2       ;
; video:video_inst|VGA_SYNC:vga_sync_inst|process_0~2                                                                                       ; 2       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|Mux16~4                                                                                                ; 2       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|Mux17~4                                                                                                ; 2       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|Mux18~4                                                                                                ; 2       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|Mux19~4                                                                                                ; 2       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|Mux20~4                                                                                                ; 2       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|Mux21~4                                                                                                ; 2       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|Mux22~4                                                                                                ; 2       ;
; T80se:z80_inst|T80:u0|F~34                                                                                                                ; 2       ;
; T80se:z80_inst|T80:u0|F~33                                                                                                                ; 2       ;
; T80se:z80_inst|T80:u0|ACC[3]~4                                                                                                            ; 2       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|Mux23~4                                                                                                ; 2       ;
; T80se:z80_inst|T80:u0|R[2]~25                                                                                                             ; 2       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|Mux24~4                                                                                                ; 2       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|Mux25~4                                                                                                ; 2       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|Mux26~4                                                                                                ; 2       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|Mux27~4                                                                                                ; 2       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|Mux28~4                                                                                                ; 2       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|Mux29~4                                                                                                ; 2       ;
; T80se:z80_inst|T80:u0|PC[1]~22                                                                                                            ; 2       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|Mux30~4                                                                                                ; 2       ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux74~5                                                                                             ; 2       ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux250~0                                                                                            ; 2       ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux293~0                                                                                            ; 2       ;
; T80se:z80_inst|T80:u0|PC~12                                                                                                               ; 2       ;
; T80se:z80_inst|T80:u0|PC[1]~11                                                                                                            ; 2       ;
; T80se:z80_inst|T80:u0|process_0~10                                                                                                        ; 2       ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux288~0                                                                                            ; 2       ;
; T80se:z80_inst|T80:u0|SP[4]~9                                                                                                             ; 2       ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux271~2                                                                                            ; 2       ;
; T80se:z80_inst|T80:u0|TmpAddr[0]~30                                                                                                       ; 2       ;
; T80se:z80_inst|Equal1~1                                                                                                                   ; 2       ;
; T80se:z80_inst|T80:u0|XY_State[1]~1                                                                                                       ; 2       ;
; DI_CPU[3]~84                                                                                                                              ; 2       ;
; \random:rand_temp[3]                                                                                                                      ; 2       ;
; \random:rand_temp[11]                                                                                                                     ; 2       ;
; T80se:z80_inst|T80:u0|IntE_FF2                                                                                                            ; 2       ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux285~0                                                                                            ; 2       ;
; T80se:z80_inst|T80:u0|F~14                                                                                                                ; 2       ;
; T80se:z80_inst|T80:u0|F~13                                                                                                                ; 2       ;
; T80se:z80_inst|T80:u0|Equal17~0                                                                                                           ; 2       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|Mux24~21                                                                                                ; 2       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|Mux24~9                                                                                                 ; 2       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|Q_t~233                                                                                                 ; 2       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|DAA_Q[5]~15                                                                                             ; 2       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|Equal3~2                                                                                                ; 2       ;
; DI_CPU[4]~79                                                                                                                              ; 2       ;
; DI_CPU[4]~75                                                                                                                              ; 2       ;
; \random:rand_temp[12]                                                                                                                     ; 2       ;
; DI_CPU[5]~74                                                                                                                              ; 2       ;
; \random:rand_temp[13]                                                                                                                     ; 2       ;
; DI_CPU[2]~69                                                                                                                              ; 2       ;
; \random:rand_temp[2]                                                                                                                      ; 2       ;
; \random:rand_temp[10]                                                                                                                     ; 2       ;
; T80se:z80_inst|T80:u0|Pre_XY_F_M[1]                                                                                                       ; 2       ;
; T80se:z80_inst|T80:u0|No_BTR                                                                                                              ; 2       ;
; DI_CPU[6]~64                                                                                                                              ; 2       ;
; DI_CPU[7]~59                                                                                                                              ; 2       ;
; \random:rand_temp[15]                                                                                                                     ; 2       ;
; LessThan4~0                                                                                                                               ; 2       ;
; DI_CPU[0]~52                                                                                                                              ; 2       ;
; \random:rand_temp[0]                                                                                                                      ; 2       ;
; \random:rand_temp[8]                                                                                                                      ; 2       ;
; DI_CPU[1]~47                                                                                                                              ; 2       ;
; \random:rand_temp[1]                                                                                                                      ; 2       ;
; DI_CPU~40                                                                                                                                 ; 2       ;
; DI_CPU[4]~37                                                                                                                              ; 2       ;
; DI_CPU[6]~36                                                                                                                              ; 2       ;
; DI_CPU~34                                                                                                                                 ; 2       ;
; DI_CPU~29                                                                                                                                 ; 2       ;
; \random:rand_temp[9]                                                                                                                      ; 2       ;
; T80se:z80_inst|T80:u0|ISet[0]~4                                                                                                           ; 2       ;
; T80se:z80_inst|T80:u0|ISet~0                                                                                                              ; 2       ;
; T80se:z80_inst|T80:u0|RegWEL~0                                                                                                            ; 2       ;
; T80se:z80_inst|T80:u0|RegWEH~0                                                                                                            ; 2       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|Mux31~4                                                                                                ; 2       ;
; T80se:z80_inst|T80:u0|Equal15~0                                                                                                           ; 2       ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux273~1                                                                                            ; 2       ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux274~3                                                                                            ; 2       ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux274~2                                                                                            ; 2       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|Add4~9                                                                                                  ; 2       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|DAA_Q[6]~12                                                                                             ; 2       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|Add4~1                                                                                                  ; 2       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|Mux33~0                                                                                                 ; 2       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|DAA_Q[5]~11                                                                                             ; 2       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|DAA_Q~10                                                                                                ; 2       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|DAA_Q[5]~9                                                                                              ; 2       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|Mux35~5                                                                                                 ; 2       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|Mux35~0                                                                                                 ; 2       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|Mux37~5                                                                                                 ; 2       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|DAA_Q[1]~1                                                                                              ; 2       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|DAA_Q[1]~0                                                                                              ; 2       ;
; T80se:z80_inst|T80:u0|ALU_Op_r~10                                                                                                         ; 2       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|Mux7~0                                                                                                  ; 2       ;
; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[9]                                                                                   ; 2       ;
; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[8]                                                                                   ; 2       ;
; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[7]                                                                                   ; 2       ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux298~6                                                                                            ; 2       ;
; T80se:z80_inst|MREQ_n~0                                                                                                                   ; 2       ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux291~8                                                                                            ; 2       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|Q_t~205                                                                                                 ; 2       ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux291~3                                                                                            ; 2       ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux291~0                                                                                            ; 2       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|Q_t~197                                                                                                 ; 2       ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux87~0                                                                                             ; 2       ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux262~6                                                                                            ; 2       ;
; T80se:z80_inst|T80:u0|I[7]                                                                                                                ; 2       ;
; T80se:z80_inst|T80:u0|I[6]                                                                                                                ; 2       ;
; T80se:z80_inst|T80:u0|I[5]                                                                                                                ; 2       ;
; T80se:z80_inst|T80:u0|I[4]                                                                                                                ; 2       ;
; T80se:z80_inst|T80:u0|I[3]                                                                                                                ; 2       ;
; T80se:z80_inst|T80:u0|I[2]                                                                                                                ; 2       ;
; T80se:z80_inst|T80:u0|I[1]                                                                                                                ; 2       ;
; T80se:z80_inst|T80:u0|I[0]                                                                                                                ; 2       ;
; T80se:z80_inst|T80:u0|A[8]~44                                                                                                             ; 2       ;
; T80se:z80_inst|T80:u0|NextIs_XY_Fetch~3                                                                                                   ; 2       ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux268~1                                                                                            ; 2       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|Q_t~165                                                                                                 ; 2       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|Q_t~154                                                                                                 ; 2       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|Q_t~146                                                                                                 ; 2       ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux201~1                                                                                            ; 2       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|Q_t~134                                                                                                 ; 2       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|Q_t~132                                                                                                 ; 2       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|Q_t~119                                                                                                 ; 2       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|Q_t~114                                                                                                 ; 2       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|Q_t~108                                                                                                 ; 2       ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux269~1                                                                                            ; 2       ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux202~3                                                                                            ; 2       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|Q_t~105                                                                                                 ; 2       ;
; T80se:z80_inst|T80:u0|A[1]~5                                                                                                              ; 2       ;
+-------------------------------------------------------------------------------------------------------------------------------------------+---------+


+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Fitter RAM Summary                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                  ;
+----------------------------------------------------------------------------------------------------------------------+------+----------------+--------------+--------------+--------------+--------------+--------------+------------------------+-------------------------+------------------------+-------------------------+--------+-----------------------------+-----------------------------+-----------------------------+-----------------------------+---------------------+------+------------------------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+----------------------+-----------------+-----------------+
; Name                                                                                                                 ; Type ; Mode           ; Clock Mode   ; Port A Depth ; Port A Width ; Port B Depth ; Port B Width ; Port A Input Registers ; Port A Output Registers ; Port B Input Registers ; Port B Output Registers ; Size   ; Implementation Port A Depth ; Implementation Port A Width ; Implementation Port B Depth ; Implementation Port B Width ; Implementation Bits ; M4Ks ; MIF                    ; Location                                                                                                                                                                                                                                                                                                                                                                                                          ; Mixed Width RDW Mode ; Port A RDW Mode ; Port B RDW Mode ;
+----------------------------------------------------------------------------------------------------------------------+------+----------------+--------------+--------------+--------------+--------------+--------------+------------------------+-------------------------+------------------------+-------------------------+--------+-----------------------------+-----------------------------+-----------------------------+-----------------------------+---------------------+------+------------------------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+----------------------+-----------------+-----------------+
; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ALTSYNCRAM   ; AUTO ; True Dual Port ; Dual Clocks  ; 2048         ; 8            ; 2048         ; 8            ; yes                    ; no                      ; yes                    ; no                      ; 16384  ; 2048                        ; 8                           ; 2048                        ; 8                           ; 16384               ; 4    ; ../ROMdata/lat9-08.mif ; M4K_X17_Y10, M4K_X17_Y12, M4K_X17_Y11, M4K_X17_Y13                                                                                                                                                                                                                                                                                                                                                                ; Don't care           ; Don't care      ; Don't care      ;
; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_tr91:auto_generated|ALTSYNCRAM                               ; AUTO ; ROM            ; Single Clock ; 16384        ; 8            ; --           ; --           ; yes                    ; yes                     ; --                     ; --                      ; 131072 ; 16384                       ; 8                           ; --                          ; --                          ; 131072              ; 32   ; ../ROMdata/rom.hex     ; M4K_X17_Y5, M4K_X41_Y20, M4K_X41_Y4, M4K_X41_Y12, M4K_X41_Y5, M4K_X41_Y1, M4K_X41_Y13, M4K_X41_Y9, M4K_X41_Y18, M4K_X17_Y23, M4K_X17_Y25, M4K_X41_Y16, M4K_X41_Y22, M4K_X41_Y19, M4K_X17_Y22, M4K_X41_Y10, M4K_X41_Y3, M4K_X17_Y3, M4K_X41_Y7, M4K_X41_Y14, M4K_X17_Y1, M4K_X17_Y21, M4K_X41_Y21, M4K_X41_Y15, M4K_X41_Y23, M4K_X17_Y20, M4K_X17_Y24, M4K_X41_Y17, M4K_X41_Y2, M4K_X17_Y2, M4K_X17_Y4, M4K_X41_Y8 ; Don't care           ; Don't care      ; Don't care      ;
; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_66l1:auto_generated|altsyncram_pal1:altsyncram1|ALTSYNCRAM ; AUTO ; True Dual Port ; Dual Clocks  ; 6143         ; 8            ; 6143         ; 8            ; yes                    ; no                      ; yes                    ; no                      ; 49144  ; 6143                        ; 8                           ; 6143                        ; 8                           ; 49144               ; 12   ; None                   ; M4K_X17_Y9, M4K_X41_Y11, M4K_X17_Y6, M4K_X17_Y8, M4K_X17_Y7, M4K_X17_Y19, M4K_X17_Y15, M4K_X17_Y16, M4K_X17_Y18, M4K_X17_Y14, M4K_X41_Y6, M4K_X17_Y17                                                                                                                                                                                                                                                             ; Don't care           ; Don't care      ; Don't care      ;
+----------------------------------------------------------------------------------------------------------------------+------+----------------+--------------+--------------+--------------+--------------+--------------+------------------------+-------------------------+------------------------+-------------------------+--------+-----------------------------+-----------------------------+-----------------------------+-----------------------------+---------------------+------+------------------------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+----------------------+-----------------+-----------------+
Note: Fitter may spread logical memories into multiple blocks to improve timing. The actual required RAM blocks can be found in the Fitter Resource Usage section.


+------------------------------------------------------+
; Other Routing Usage Summary                          ;
+-----------------------------+------------------------+
; Other Routing Resource Type ; Usage                  ;
+-----------------------------+------------------------+
; Block interconnects         ; 4,947 / 54,004 ( 9 % ) ;
; C16 interconnects           ; 95 / 2,100 ( 5 % )     ;
; C4 interconnects            ; 3,044 / 36,000 ( 8 % ) ;
; Direct links                ; 390 / 54,004 ( < 1 % ) ;
; Global clocks               ; 11 / 16 ( 69 % )       ;
; Local interconnects         ; 1,491 / 18,752 ( 8 % ) ;
; R24 interconnects           ; 171 / 1,900 ( 9 % )    ;
; R4 interconnects            ; 3,428 / 46,920 ( 7 % ) ;
+-----------------------------+------------------------+


+-----------------------------------------------------------------------------+
; LAB Logic Elements                                                          ;
+---------------------------------------------+-------------------------------+
; Number of Logic Elements  (Average = 14.21) ; Number of LABs  (Total = 179) ;
+---------------------------------------------+-------------------------------+
; 1                                           ; 5                             ;
; 2                                           ; 2                             ;
; 3                                           ; 0                             ;
; 4                                           ; 3                             ;
; 5                                           ; 1                             ;
; 6                                           ; 0                             ;
; 7                                           ; 2                             ;
; 8                                           ; 2                             ;
; 9                                           ; 1                             ;
; 10                                          ; 2                             ;
; 11                                          ; 3                             ;
; 12                                          ; 5                             ;
; 13                                          ; 8                             ;
; 14                                          ; 14                            ;
; 15                                          ; 30                            ;
; 16                                          ; 101                           ;
+---------------------------------------------+-------------------------------+


+--------------------------------------------------------------------+
; LAB-wide Signals                                                   ;
+------------------------------------+-------------------------------+
; LAB-wide Signals  (Average = 1.34) ; Number of LABs  (Total = 179) ;
+------------------------------------+-------------------------------+
; 1 Async. clear                     ; 53                            ;
; 1 Clock                            ; 108                           ;
; 1 Clock enable                     ; 40                            ;
; 1 Sync. load                       ; 7                             ;
; 2 Clock enables                    ; 25                            ;
; 2 Clocks                           ; 6                             ;
+------------------------------------+-------------------------------+


+------------------------------------------------------------------------------+
; LAB Signals Sourced                                                          ;
+----------------------------------------------+-------------------------------+
; Number of Signals Sourced  (Average = 17.00) ; Number of LABs  (Total = 179) ;
+----------------------------------------------+-------------------------------+
; 0                                            ; 0                             ;
; 1                                            ; 4                             ;
; 2                                            ; 2                             ;
; 3                                            ; 1                             ;
; 4                                            ; 0                             ;
; 5                                            ; 0                             ;
; 6                                            ; 0                             ;
; 7                                            ; 1                             ;
; 8                                            ; 4                             ;
; 9                                            ; 1                             ;
; 10                                           ; 1                             ;
; 11                                           ; 0                             ;
; 12                                           ; 1                             ;
; 13                                           ; 1                             ;
; 14                                           ; 10                            ;
; 15                                           ; 12                            ;
; 16                                           ; 45                            ;
; 17                                           ; 22                            ;
; 18                                           ; 19                            ;
; 19                                           ; 12                            ;
; 20                                           ; 13                            ;
; 21                                           ; 4                             ;
; 22                                           ; 7                             ;
; 23                                           ; 6                             ;
; 24                                           ; 4                             ;
; 25                                           ; 4                             ;
; 26                                           ; 3                             ;
; 27                                           ; 1                             ;
; 28                                           ; 1                             ;
+----------------------------------------------+-------------------------------+


+---------------------------------------------------------------------------------+
; LAB Signals Sourced Out                                                         ;
+-------------------------------------------------+-------------------------------+
; Number of Signals Sourced Out  (Average = 8.46) ; Number of LABs  (Total = 179) ;
+-------------------------------------------------+-------------------------------+
; 0                                               ; 0                             ;
; 1                                               ; 14                            ;
; 2                                               ; 7                             ;
; 3                                               ; 9                             ;
; 4                                               ; 11                            ;
; 5                                               ; 11                            ;
; 6                                               ; 12                            ;
; 7                                               ; 19                            ;
; 8                                               ; 13                            ;
; 9                                               ; 15                            ;
; 10                                              ; 12                            ;
; 11                                              ; 8                             ;
; 12                                              ; 8                             ;
; 13                                              ; 9                             ;
; 14                                              ; 8                             ;
; 15                                              ; 5                             ;
; 16                                              ; 12                            ;
; 17                                              ; 1                             ;
; 18                                              ; 2                             ;
; 19                                              ; 0                             ;
; 20                                              ; 2                             ;
; 21                                              ; 0                             ;
; 22                                              ; 0                             ;
; 23                                              ; 1                             ;
+-------------------------------------------------+-------------------------------+


+------------------------------------------------------------------------------+
; LAB Distinct Inputs                                                          ;
+----------------------------------------------+-------------------------------+
; Number of Distinct Inputs  (Average = 20.47) ; Number of LABs  (Total = 179) ;
+----------------------------------------------+-------------------------------+
; 0                                            ; 0                             ;
; 1                                            ; 5                             ;
; 2                                            ; 6                             ;
; 3                                            ; 2                             ;
; 4                                            ; 2                             ;
; 5                                            ; 1                             ;
; 6                                            ; 2                             ;
; 7                                            ; 0                             ;
; 8                                            ; 2                             ;
; 9                                            ; 2                             ;
; 10                                           ; 1                             ;
; 11                                           ; 5                             ;
; 12                                           ; 2                             ;
; 13                                           ; 3                             ;
; 14                                           ; 4                             ;
; 15                                           ; 7                             ;
; 16                                           ; 10                            ;
; 17                                           ; 9                             ;
; 18                                           ; 9                             ;
; 19                                           ; 4                             ;
; 20                                           ; 5                             ;
; 21                                           ; 11                            ;
; 22                                           ; 6                             ;
; 23                                           ; 7                             ;
; 24                                           ; 7                             ;
; 25                                           ; 6                             ;
; 26                                           ; 8                             ;
; 27                                           ; 6                             ;
; 28                                           ; 5                             ;
; 29                                           ; 9                             ;
; 30                                           ; 3                             ;
; 31                                           ; 21                            ;
; 32                                           ; 9                             ;
+----------------------------------------------+-------------------------------+


+-------------------------------------------------------------------------+
; Fitter Device Options                                                   ;
+----------------------------------------------+--------------------------+
; Option                                       ; Setting                  ;
+----------------------------------------------+--------------------------+
; Enable user-supplied start-up clock (CLKUSR) ; Off                      ;
; Enable device-wide reset (DEV_CLRn)          ; Off                      ;
; Enable device-wide output enable (DEV_OE)    ; Off                      ;
; Enable INIT_DONE output                      ; Off                      ;
; Configuration scheme                         ; Active Serial            ;
; Error detection CRC                          ; Off                      ;
; nCEO                                         ; As output driving ground ;
; ASDO,nCSO                                    ; As input tri-stated      ;
; Reserve all unused pins                      ; As input tri-stated      ;
; Base pin-out file on sameframe device        ; Off                      ;
+----------------------------------------------+--------------------------+


+------------------------------------+
; Operating Settings and Conditions  ;
+---------------------------+--------+
; Setting                   ; Value  ;
+---------------------------+--------+
; Nominal Core Voltage      ; 1.20 V ;
+---------------------------+--------+


+-----------------+
; Fitter Messages ;
+-----------------+
Warning (20028): Parallel compilation is not licensed and has been disabled
Info (119006): Selected device EP2C20F484C7 for design "z80soc"
Info (171001): Fitter is performing a Fast Fit compilation, which decreases Fitter effort to reduce compilation time
Warning (292013): Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature.
Info (176444): Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices
    Info (176445): Device EP2C15AF484C7 is compatible
    Info (176445): Device EP2C35F484C7 is compatible
    Info (176445): Device EP2C50F484C7 is compatible
Info (169124): Fitter converted 3 user pins into dedicated programming pins
    Info (169125): Pin ~ASDO~ is reserved at location C4
    Info (169125): Pin ~nCSO~ is reserved at location C3
    Info (169125): Pin ~LVDS91p/nCEO~ is reserved at location W20
Info (176045): Design uses memory blocks. Violating setup or hold times of memory block address registers for either read or write operations could cause memory contents to be corrupted. Make sure that all memory block address registers meet the setup and hold time requirements.
Critical Warning (169085): No exact pin location assignment(s) for 7 pins of 281 total pins
    Info (169086): Pin CLOCK_27 not assigned to an exact location on the device
    Info (169086): Pin IRDA_RXD not assigned to an exact location on the device
    Info (169086): Pin FL_CE_N not assigned to an exact location on the device
    Info (169086): Pin SD_DAT not assigned to an exact location on the device
    Info (169086): Pin SD_DAT3 not assigned to an exact location on the device
    Info (169086): Pin SD_CMD not assigned to an exact location on the device
    Info (169086): Pin SD_CLK not assigned to an exact location on the device
Critical Warning (332012): Synopsys Design Constraints File file not found: 'z80soc.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design.
Info (332144): No user constrained base clocks found in the design
Info (332097): The following timing edges are non-unate.  TimeQuest will assume pos-unate behavior for these edges in the clock network.
    Info (332098): Cell: Clk_Z80  from: datac  to: combout
Info (332128): Timing requirements not specified -- optimizing circuit to achieve the following default global requirements
    Info (332127): Assuming a default timing requirement
Info (332111): Found 11 clocks
    Info (332111):   Period   Clock Name
    Info (332111): ======== ============
    Info (332111):    1.000 clk_div:clkdiv_inst|clock_1Khz_int
    Info (332111):    1.000 clk_div:clkdiv_inst|clock_1Mhz_int
    Info (332111):    1.000 clk_div:clkdiv_inst|clock_10Khz_int
    Info (332111):    1.000 clk_div:clkdiv_inst|clock_25MHz
    Info (332111):    1.000 clk_div:clkdiv_inst|clock_25Mhz_int
    Info (332111):    1.000 clk_div:clkdiv_inst|clock_100Hz
    Info (332111):    1.000 clk_div:clkdiv_inst|clock_100Khz_int
    Info (332111):    1.000     CLOCK_50
    Info (332111):    1.000 ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered
    Info (332111):    1.000 ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set
    Info (332111):    1.000        SW[8]
Info (176353): Automatically promoted node CLOCK_50 (placed in PIN L1 (CLK0, LVDSCLK0p, Input))
    Info (176355): Automatically promoted destinations to use location or clock signal Global Clock CLKCTRL_G2
    Info (176356): Following destination nodes may be non-global or may not use global or regional clocks
        Info (176357): Destination node clk_div:clkdiv_inst|clock_357Mhz
        Info (176357): Destination node clk_div:clkdiv_inst|clock_10MHz
Info (176353): Automatically promoted node Clk_Z80 
    Info (176355): Automatically promoted destinations to use location or clock signal Global Clock
Info (176353): Automatically promoted node clk_div:clkdiv_inst|clock_25MHz 
    Info (176355): Automatically promoted destinations to use location or clock signal Global Clock
Info (176353): Automatically promoted node ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered 
    Info (176355): Automatically promoted destinations to use location or clock signal Global Clock
    Info (176356): Following destination nodes may be non-global or may not use global or regional clocks
        Info (176357): Destination node ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered~1
        Info (176357): Destination node ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered~2
        Info (176357): Destination node ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered~3
Info (176353): Automatically promoted node clk_div:clkdiv_inst|clock_25Mhz_int 
    Info (176355): Automatically promoted destinations to use location or clock signal Global Clock
    Info (176356): Following destination nodes may be non-global or may not use global or regional clocks
        Info (176357): Destination node clk_div:clkdiv_inst|clock_25MHz
        Info (176357): Destination node clk_div:clkdiv_inst|clock_25Mhz_int~0
Info (176353): Automatically promoted node clk_div:clkdiv_inst|clock_100Khz_int 
    Info (176355): Automatically promoted destinations to use location or clock signal Global Clock
    Info (176356): Following destination nodes may be non-global or may not use global or regional clocks
        Info (176357): Destination node clk_div:clkdiv_inst|clock_100Khz_int~0
Info (176353): Automatically promoted node clk_div:clkdiv_inst|clock_10Khz_int 
    Info (176355): Automatically promoted destinations to use location or clock signal Global Clock
    Info (176356): Following destination nodes may be non-global or may not use global or regional clocks
        Info (176357): Destination node clk_div:clkdiv_inst|clock_10Khz_int~0
Info (176353): Automatically promoted node clk_div:clkdiv_inst|clock_1Khz_int 
    Info (176355): Automatically promoted destinations to use location or clock signal Global Clock
    Info (176356): Following destination nodes may be non-global or may not use global or regional clocks
        Info (176357): Destination node clk_div:clkdiv_inst|clock_1Khz_int~0
Info (176353): Automatically promoted node clk_div:clkdiv_inst|clock_1Mhz_int 
    Info (176355): Automatically promoted destinations to use location or clock signal Global Clock
Info (176353): Automatically promoted node clk_div:clkdiv_inst|clock_100Hz 
    Info (176355): Automatically promoted destinations to use location or clock signal Global Clock
Info (176353): Automatically promoted node SW[9] (placed in PIN L2 (CLK1, LVDSCLK0n, Input))
    Info (176355): Automatically promoted destinations to use location or clock signal Global Clock CLKCTRL_G1
    Info (176356): Following destination nodes may be non-global or may not use global or regional clocks
        Info (176357): Destination node ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR
        Info (176357): Destination node ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set
        Info (176357): Destination node ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[7]~0
        Info (176357): Destination node ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[7]~0
        Info (176357): Destination node ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT~0
        Info (176357): Destination node ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]~1
        Info (176357): Destination node ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT~2
        Info (176357): Destination node ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT~3
        Info (176357): Destination node ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT~4
        Info (176357): Destination node LEDR[9]
Info (176233): Starting register packing
Info (176235): Finished register packing
    Extra Info (176219): No registers were packed into other blocks
Info (176214): Statistics of I/O pins that need to be placed that use the same VCCIO and VREF, before I/O pin placement
    Info (176211): Number of I/O pins in group: 7 (unused VREF, 3.3V VCCIO, 3 input, 4 output, 0 bidirectional)
        Info (176212): I/O standards used: 3.3-V LVTTL.
Info (176215): I/O bank details before I/O pin placement
    Info (176214): Statistics of I/O banks
        Info (176213): I/O bank number 1 does not use VREF pins and has 3.3V VCCIO pins. 40 total pin(s) used --  1 pins available
        Info (176213): I/O bank number 2 does not use VREF pins and has 3.3V VCCIO pins. 32 total pin(s) used --  1 pins available
        Info (176213): I/O bank number 3 does not use VREF pins and has 3.3V VCCIO pins. 26 total pin(s) used --  17 pins available
        Info (176213): I/O bank number 4 does not use VREF pins and has 3.3V VCCIO pins. 36 total pin(s) used --  4 pins available
        Info (176213): I/O bank number 5 does not use VREF pins and has 3.3V VCCIO pins. 36 total pin(s) used --  3 pins available
        Info (176213): I/O bank number 6 does not use VREF pins and has 3.3V VCCIO pins. 31 total pin(s) used --  5 pins available
        Info (176213): I/O bank number 7 does not use VREF pins and has 3.3V VCCIO pins. 35 total pin(s) used --  5 pins available
        Info (176213): I/O bank number 8 does not use VREF pins and has 3.3V VCCIO pins. 41 total pin(s) used --  2 pins available
Warning (15709): Ignored I/O standard assignments to the following nodes
    Warning (15710): Ignored I/O standard assignment to node "CLOCK_24[0]"
    Warning (15710): Ignored I/O standard assignment to node "CLOCK_24[1]"
    Warning (15710): Ignored I/O standard assignment to node "CLOCK_27[1]"
Warning (15705): Ignored locations or region assignments to the following nodes
    Warning (15706): Node "CLOCK_24[0]" is assigned to location or region, but does not exist in design
    Warning (15706): Node "CLOCK_24[1]" is assigned to location or region, but does not exist in design
Info (171121): Fitter preparation operations ending: elapsed time is 00:00:08
Info (170189): Fitter placement preparation operations beginning
Info (170190): Fitter placement preparation operations ending: elapsed time is 00:00:03
Info (170191): Fitter placement operations beginning
Info (170137): Fitter placement was successful
Info (170192): Fitter placement operations ending: elapsed time is 00:00:04
Info (170193): Fitter routing operations beginning
Info (170195): Router estimated average interconnect usage is 7% of the available device resources
    Info (170196): Router estimated peak interconnect usage is 19% of the available device resources in the region that extends from location X25_Y14 to location X37_Y27
Info (170194): Fitter routing operations ending: elapsed time is 00:00:11
Info (11888): Total time spent on timing analysis during the Fitter is 4.63 seconds.
Info (306004): Started post-fitting delay annotation
Warning (306006): Found 257 output pins without output pin load capacitance assignment
    Info (306007): Pin "UART_TXD" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "DRAM_DQ[0]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "DRAM_DQ[1]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "DRAM_DQ[2]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "DRAM_DQ[3]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "DRAM_DQ[4]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "DRAM_DQ[5]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "DRAM_DQ[6]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "DRAM_DQ[7]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "DRAM_DQ[8]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "DRAM_DQ[9]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "DRAM_DQ[10]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "DRAM_DQ[11]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "DRAM_DQ[12]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "DRAM_DQ[13]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "DRAM_DQ[14]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "DRAM_DQ[15]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "FL_DQ[0]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "FL_DQ[1]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "FL_DQ[2]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "FL_DQ[3]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "FL_DQ[4]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "FL_DQ[5]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "FL_DQ[6]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "FL_DQ[7]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "SRAM_DQ[0]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "SRAM_DQ[1]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "SRAM_DQ[2]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "SRAM_DQ[3]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "SRAM_DQ[4]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "SRAM_DQ[5]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "SRAM_DQ[6]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "SRAM_DQ[7]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "SRAM_DQ[8]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "SRAM_DQ[9]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "SRAM_DQ[10]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "SRAM_DQ[11]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "SRAM_DQ[12]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "SRAM_DQ[13]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "SRAM_DQ[14]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "SRAM_DQ[15]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "I2C_SDAT" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "PS2_DAT" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "PS2_CLK" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "AUD_ADCLRCK" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "AUD_DACLRCK" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "AUD_BCLK" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "GPIO_0[0]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "GPIO_0[1]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "GPIO_0[2]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "GPIO_0[3]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "GPIO_0[4]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "GPIO_0[5]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "GPIO_0[6]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "GPIO_0[7]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "GPIO_0[8]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "GPIO_0[9]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "GPIO_0[10]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "GPIO_0[11]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "GPIO_0[12]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "GPIO_0[13]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "GPIO_0[14]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "GPIO_0[15]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "GPIO_0[16]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "GPIO_0[17]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "GPIO_0[18]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "GPIO_0[19]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "GPIO_0[20]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "GPIO_0[21]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "GPIO_0[22]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "GPIO_0[23]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "GPIO_0[24]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "GPIO_0[25]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "GPIO_0[26]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "GPIO_0[27]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "GPIO_0[28]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "GPIO_0[29]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "GPIO_0[30]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "GPIO_0[31]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "GPIO_0[32]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "GPIO_0[33]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "GPIO_0[34]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "GPIO_0[35]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "GPIO_1[0]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "GPIO_1[1]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "GPIO_1[2]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "GPIO_1[3]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "GPIO_1[4]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "GPIO_1[5]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "GPIO_1[6]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "GPIO_1[7]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "GPIO_1[8]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "GPIO_1[9]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "GPIO_1[10]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "GPIO_1[11]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "GPIO_1[12]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "GPIO_1[13]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "GPIO_1[14]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "GPIO_1[15]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "GPIO_1[16]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "GPIO_1[17]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "GPIO_1[18]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "GPIO_1[19]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "GPIO_1[20]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "GPIO_1[21]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "GPIO_1[22]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "GPIO_1[23]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "GPIO_1[24]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "GPIO_1[25]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "GPIO_1[26]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "GPIO_1[27]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "GPIO_1[28]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "GPIO_1[29]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "GPIO_1[30]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "GPIO_1[31]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "GPIO_1[32]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "GPIO_1[33]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "GPIO_1[34]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "GPIO_1[35]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "HEX0[0]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "HEX0[1]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "HEX0[2]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "HEX0[3]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "HEX0[4]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "HEX0[5]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "HEX0[6]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "HEX1[0]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "HEX1[1]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "HEX1[2]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "HEX1[3]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "HEX1[4]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "HEX1[5]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "HEX1[6]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "HEX2[0]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "HEX2[1]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "HEX2[2]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "HEX2[3]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "HEX2[4]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "HEX2[5]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "HEX2[6]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "HEX3[0]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "HEX3[1]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "HEX3[2]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "HEX3[3]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "HEX3[4]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "HEX3[5]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "HEX3[6]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "LEDG[0]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "LEDG[1]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "LEDG[2]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "LEDG[3]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "LEDG[4]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "LEDG[5]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "LEDG[6]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "LEDG[7]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "LEDR[0]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "LEDR[1]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "LEDR[2]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "LEDR[3]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "LEDR[4]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "LEDR[5]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "LEDR[6]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "LEDR[7]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "LEDR[8]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "LEDR[9]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "DRAM_ADDR[0]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "DRAM_ADDR[1]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "DRAM_ADDR[2]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "DRAM_ADDR[3]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "DRAM_ADDR[4]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "DRAM_ADDR[5]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "DRAM_ADDR[6]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "DRAM_ADDR[7]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "DRAM_ADDR[8]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "DRAM_ADDR[9]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "DRAM_ADDR[10]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "DRAM_ADDR[11]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "DRAM_LDQM" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "DRAM_UDQM" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "DRAM_WE_N" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "DRAM_CAS_N" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "DRAM_RAS_N" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "DRAM_CS_N" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "DRAM_BA_0" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "DRAM_BA_1" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "DRAM_CLK" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "DRAM_CKE" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "FL_ADDR[0]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "FL_ADDR[1]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "FL_ADDR[2]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "FL_ADDR[3]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "FL_ADDR[4]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "FL_ADDR[5]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "FL_ADDR[6]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "FL_ADDR[7]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "FL_ADDR[8]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "FL_ADDR[9]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "FL_ADDR[10]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "FL_ADDR[11]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "FL_ADDR[12]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "FL_ADDR[13]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "FL_ADDR[14]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "FL_ADDR[15]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "FL_ADDR[16]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "FL_ADDR[17]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "FL_ADDR[18]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "FL_ADDR[19]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "FL_ADDR[20]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "FL_ADDR[21]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "FL_WE_N" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "FL_RST_N" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "FL_OE_N" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "FL_CE_N" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "SRAM_ADDR[0]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "SRAM_ADDR[1]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "SRAM_ADDR[2]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "SRAM_ADDR[3]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "SRAM_ADDR[4]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "SRAM_ADDR[5]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "SRAM_ADDR[6]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "SRAM_ADDR[7]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "SRAM_ADDR[8]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "SRAM_ADDR[9]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "SRAM_ADDR[10]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "SRAM_ADDR[11]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "SRAM_ADDR[12]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "SRAM_ADDR[13]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "SRAM_ADDR[14]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "SRAM_ADDR[15]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "SRAM_ADDR[16]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "SRAM_ADDR[17]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "SRAM_UB_N" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "SRAM_LB_N" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "SRAM_WE_N" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "SRAM_CE_N" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "SRAM_OE_N" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "SD_DAT3" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "SD_CMD" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "SD_CLK" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "TDO" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "I2C_SCLK" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "VGA_HS" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "VGA_VS" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "VGA_R[0]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "VGA_R[1]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "VGA_R[2]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "VGA_R[3]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "VGA_G[0]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "VGA_G[1]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "VGA_G[2]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "VGA_G[3]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "VGA_B[0]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "VGA_B[1]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "VGA_B[2]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "VGA_B[3]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "AUD_DACDAT" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
    Info (306007): Pin "AUD_XCK" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
Info (306005): Delay annotation completed successfully
Info (306004): Started post-fitting delay annotation
Info (306005): Delay annotation completed successfully
Info (11218): Fitter post-fit operations ending: elapsed time is 00:00:07
Info (176045): Design uses memory blocks. Violating setup or hold times of memory block address registers for either read or write operations could cause memory contents to be corrupted. Make sure that all memory block address registers meet the setup and hold time requirements.
Warning (171167): Found invalid Fitter assignments. See the Ignored Assignments panel in the Fitter Compilation Report for more information.
Warning (169064): Following 110 pins have no output enable or a GND or VCC output enable - later changes to this connectivity may change fitting results
    Info (169065): Pin DRAM_DQ[0] has a permanently disabled output enable
    Info (169065): Pin DRAM_DQ[1] has a permanently disabled output enable
    Info (169065): Pin DRAM_DQ[2] has a permanently disabled output enable
    Info (169065): Pin DRAM_DQ[3] has a permanently disabled output enable
    Info (169065): Pin DRAM_DQ[4] has a permanently disabled output enable
    Info (169065): Pin DRAM_DQ[5] has a permanently disabled output enable
    Info (169065): Pin DRAM_DQ[6] has a permanently disabled output enable
    Info (169065): Pin DRAM_DQ[7] has a permanently disabled output enable
    Info (169065): Pin DRAM_DQ[8] has a permanently disabled output enable
    Info (169065): Pin DRAM_DQ[9] has a permanently disabled output enable
    Info (169065): Pin DRAM_DQ[10] has a permanently disabled output enable
    Info (169065): Pin DRAM_DQ[11] has a permanently disabled output enable
    Info (169065): Pin DRAM_DQ[12] has a permanently disabled output enable
    Info (169065): Pin DRAM_DQ[13] has a permanently disabled output enable
    Info (169065): Pin DRAM_DQ[14] has a permanently disabled output enable
    Info (169065): Pin DRAM_DQ[15] has a permanently disabled output enable
    Info (169065): Pin FL_DQ[0] has a permanently disabled output enable
    Info (169065): Pin FL_DQ[1] has a permanently disabled output enable
    Info (169065): Pin FL_DQ[2] has a permanently disabled output enable
    Info (169065): Pin FL_DQ[3] has a permanently disabled output enable
    Info (169065): Pin FL_DQ[4] has a permanently disabled output enable
    Info (169065): Pin FL_DQ[5] has a permanently disabled output enable
    Info (169065): Pin FL_DQ[6] has a permanently disabled output enable
    Info (169065): Pin FL_DQ[7] has a permanently disabled output enable
    Info (169065): Pin SRAM_DQ[8] has a permanently disabled output enable
    Info (169065): Pin SRAM_DQ[9] has a permanently disabled output enable
    Info (169065): Pin SRAM_DQ[10] has a permanently disabled output enable
    Info (169065): Pin SRAM_DQ[11] has a permanently disabled output enable
    Info (169065): Pin SRAM_DQ[12] has a permanently disabled output enable
    Info (169065): Pin SRAM_DQ[13] has a permanently disabled output enable
    Info (169065): Pin SRAM_DQ[14] has a permanently disabled output enable
    Info (169065): Pin SRAM_DQ[15] has a permanently disabled output enable
    Info (169065): Pin I2C_SDAT has a permanently disabled output enable
    Info (169065): Pin PS2_DAT has a permanently disabled output enable
    Info (169065): Pin PS2_CLK has a permanently disabled output enable
    Info (169065): Pin AUD_ADCLRCK has a permanently disabled output enable
    Info (169065): Pin AUD_DACLRCK has a permanently disabled output enable
    Info (169065): Pin AUD_BCLK has a permanently disabled output enable
    Info (169065): Pin GPIO_0[0] has a permanently disabled output enable
    Info (169065): Pin GPIO_0[1] has a permanently disabled output enable
    Info (169065): Pin GPIO_0[2] has a permanently disabled output enable
    Info (169065): Pin GPIO_0[3] has a permanently disabled output enable
    Info (169065): Pin GPIO_0[4] has a permanently disabled output enable
    Info (169065): Pin GPIO_0[5] has a permanently disabled output enable
    Info (169065): Pin GPIO_0[6] has a permanently disabled output enable
    Info (169065): Pin GPIO_0[7] has a permanently disabled output enable
    Info (169065): Pin GPIO_0[8] has a permanently disabled output enable
    Info (169065): Pin GPIO_0[9] has a permanently disabled output enable
    Info (169065): Pin GPIO_0[10] has a permanently disabled output enable
    Info (169065): Pin GPIO_0[11] has a permanently disabled output enable
    Info (169065): Pin GPIO_0[12] has a permanently disabled output enable
    Info (169065): Pin GPIO_0[13] has a permanently disabled output enable
    Info (169065): Pin GPIO_0[14] has a permanently disabled output enable
    Info (169065): Pin GPIO_0[15] has a permanently disabled output enable
    Info (169065): Pin GPIO_0[16] has a permanently disabled output enable
    Info (169065): Pin GPIO_0[17] has a permanently disabled output enable
    Info (169065): Pin GPIO_0[18] has a permanently disabled output enable
    Info (169065): Pin GPIO_0[19] has a permanently disabled output enable
    Info (169065): Pin GPIO_0[20] has a permanently disabled output enable
    Info (169065): Pin GPIO_0[21] has a permanently disabled output enable
    Info (169065): Pin GPIO_0[22] has a permanently disabled output enable
    Info (169065): Pin GPIO_0[23] has a permanently disabled output enable
    Info (169065): Pin GPIO_0[24] has a permanently disabled output enable
    Info (169065): Pin GPIO_0[25] has a permanently disabled output enable
    Info (169065): Pin GPIO_0[26] has a permanently disabled output enable
    Info (169065): Pin GPIO_0[27] has a permanently disabled output enable
    Info (169065): Pin GPIO_0[28] has a permanently disabled output enable
    Info (169065): Pin GPIO_0[29] has a permanently disabled output enable
    Info (169065): Pin GPIO_0[30] has a permanently disabled output enable
    Info (169065): Pin GPIO_0[31] has a permanently disabled output enable
    Info (169065): Pin GPIO_0[32] has a permanently disabled output enable
    Info (169065): Pin GPIO_0[33] has a permanently disabled output enable
    Info (169065): Pin GPIO_0[34] has a permanently disabled output enable
    Info (169065): Pin GPIO_0[35] has a permanently disabled output enable
    Info (169065): Pin GPIO_1[0] has a permanently disabled output enable
    Info (169065): Pin GPIO_1[1] has a permanently disabled output enable
    Info (169065): Pin GPIO_1[2] has a permanently disabled output enable
    Info (169065): Pin GPIO_1[3] has a permanently disabled output enable
    Info (169065): Pin GPIO_1[4] has a permanently disabled output enable
    Info (169065): Pin GPIO_1[5] has a permanently disabled output enable
    Info (169065): Pin GPIO_1[6] has a permanently disabled output enable
    Info (169065): Pin GPIO_1[7] has a permanently disabled output enable
    Info (169065): Pin GPIO_1[8] has a permanently disabled output enable
    Info (169065): Pin GPIO_1[9] has a permanently disabled output enable
    Info (169065): Pin GPIO_1[10] has a permanently disabled output enable
    Info (169065): Pin GPIO_1[11] has a permanently disabled output enable
    Info (169065): Pin GPIO_1[12] has a permanently disabled output enable
    Info (169065): Pin GPIO_1[13] has a permanently disabled output enable
    Info (169065): Pin GPIO_1[14] has a permanently disabled output enable
    Info (169065): Pin GPIO_1[15] has a permanently disabled output enable
    Info (169065): Pin GPIO_1[16] has a permanently disabled output enable
    Info (169065): Pin GPIO_1[17] has a permanently disabled output enable
    Info (169065): Pin GPIO_1[18] has a permanently disabled output enable
    Info (169065): Pin GPIO_1[19] has a permanently disabled output enable
    Info (169065): Pin GPIO_1[20] has a permanently disabled output enable
    Info (169065): Pin GPIO_1[21] has a permanently disabled output enable
    Info (169065): Pin GPIO_1[22] has a permanently disabled output enable
    Info (169065): Pin GPIO_1[23] has a permanently disabled output enable
    Info (169065): Pin GPIO_1[24] has a permanently disabled output enable
    Info (169065): Pin GPIO_1[25] has a permanently disabled output enable
    Info (169065): Pin GPIO_1[26] has a permanently disabled output enable
    Info (169065): Pin GPIO_1[27] has a permanently disabled output enable
    Info (169065): Pin GPIO_1[28] has a permanently disabled output enable
    Info (169065): Pin GPIO_1[29] has a permanently disabled output enable
    Info (169065): Pin GPIO_1[30] has a permanently disabled output enable
    Info (169065): Pin GPIO_1[31] has a permanently disabled output enable
    Info (169065): Pin GPIO_1[32] has a permanently disabled output enable
    Info (169065): Pin GPIO_1[33] has a permanently disabled output enable
    Info (169065): Pin GPIO_1[34] has a permanently disabled output enable
    Info (169065): Pin GPIO_1[35] has a permanently disabled output enable
Info (144001): Generated suppressed messages file F:/z80soc-local/hw/0.7.3/DE1/z80soc.fit.smsg
Info: Quartus II 64-Bit Fitter was successful. 0 errors, 14 warnings
    Info: Peak virtual memory: 659 megabytes
    Info: Processing ended: Sun Jun 19 14:43:00 2016
    Info: Elapsed time: 00:00:57
    Info: Total CPU time (on all processors): 00:00:41


+----------------------------+
; Fitter Suppressed Messages ;
+----------------------------+
The suppressed messages can be found in F:/z80soc-local/hw/0.7.3/DE1/z80soc.fit.smsg.


Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.