OpenCores
URL https://opencores.org/ocsvn/z80soc/z80soc/trunk

Subversion Repositories z80soc

[/] [z80soc/] [trunk/] [V0.7.3/] [DE1/] [z80soc.sta.rpt] - Rev 46

Compare with Previous | Blame | View Log

TimeQuest Timing Analyzer report for z80soc
Sun Jun 19 14:43:22 2016
Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition


---------------------
; Table of Contents ;
---------------------
  1. Legal Notice
  2. TimeQuest Timing Analyzer Summary
  3. Parallel Compilation
  4. Clocks
  5. Slow Model Fmax Summary
  6. Slow Model Setup Summary
  7. Slow Model Hold Summary
  8. Slow Model Recovery Summary
  9. Slow Model Removal Summary
 10. Slow Model Minimum Pulse Width Summary
 11. Slow Model Setup: 'SW[8]'
 12. Slow Model Setup: 'clk_div:clkdiv_inst|clock_25MHz'
 13. Slow Model Setup: 'CLOCK_50'
 14. Slow Model Setup: 'clk_div:clkdiv_inst|clock_100Hz'
 15. Slow Model Setup: 'ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered'
 16. Slow Model Setup: 'clk_div:clkdiv_inst|clock_25Mhz_int'
 17. Slow Model Setup: 'clk_div:clkdiv_inst|clock_1Khz_int'
 18. Slow Model Setup: 'clk_div:clkdiv_inst|clock_1Mhz_int'
 19. Slow Model Setup: 'clk_div:clkdiv_inst|clock_100Khz_int'
 20. Slow Model Setup: 'clk_div:clkdiv_inst|clock_10Khz_int'
 21. Slow Model Hold: 'CLOCK_50'
 22. Slow Model Hold: 'clk_div:clkdiv_inst|clock_10Khz_int'
 23. Slow Model Hold: 'clk_div:clkdiv_inst|clock_1Mhz_int'
 24. Slow Model Hold: 'ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered'
 25. Slow Model Hold: 'SW[8]'
 26. Slow Model Hold: 'clk_div:clkdiv_inst|clock_100Khz_int'
 27. Slow Model Hold: 'clk_div:clkdiv_inst|clock_1Khz_int'
 28. Slow Model Hold: 'clk_div:clkdiv_inst|clock_25MHz'
 29. Slow Model Hold: 'clk_div:clkdiv_inst|clock_100Hz'
 30. Slow Model Hold: 'clk_div:clkdiv_inst|clock_25Mhz_int'
 31. Slow Model Recovery: 'ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set'
 32. Slow Model Removal: 'ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set'
 33. Slow Model Minimum Pulse Width: 'clk_div:clkdiv_inst|clock_25MHz'
 34. Slow Model Minimum Pulse Width: 'SW[8]'
 35. Slow Model Minimum Pulse Width: 'CLOCK_50'
 36. Slow Model Minimum Pulse Width: 'ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered'
 37. Slow Model Minimum Pulse Width: 'clk_div:clkdiv_inst|clock_25Mhz_int'
 38. Slow Model Minimum Pulse Width: 'clk_div:clkdiv_inst|clock_100Khz_int'
 39. Slow Model Minimum Pulse Width: 'clk_div:clkdiv_inst|clock_10Khz_int'
 40. Slow Model Minimum Pulse Width: 'clk_div:clkdiv_inst|clock_1Khz_int'
 41. Slow Model Minimum Pulse Width: 'clk_div:clkdiv_inst|clock_1Mhz_int'
 42. Slow Model Minimum Pulse Width: 'clk_div:clkdiv_inst|clock_100Hz'
 43. Slow Model Minimum Pulse Width: 'ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set'
 44. Setup Times
 45. Hold Times
 46. Clock to Output Times
 47. Minimum Clock to Output Times
 48. Propagation Delay
 49. Minimum Propagation Delay
 50. Output Enable Times
 51. Minimum Output Enable Times
 52. Output Disable Times
 53. Minimum Output Disable Times
 54. Fast Model Setup Summary
 55. Fast Model Hold Summary
 56. Fast Model Recovery Summary
 57. Fast Model Removal Summary
 58. Fast Model Minimum Pulse Width Summary
 59. Fast Model Setup: 'SW[8]'
 60. Fast Model Setup: 'clk_div:clkdiv_inst|clock_25MHz'
 61. Fast Model Setup: 'CLOCK_50'
 62. Fast Model Setup: 'clk_div:clkdiv_inst|clock_100Hz'
 63. Fast Model Setup: 'ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered'
 64. Fast Model Setup: 'clk_div:clkdiv_inst|clock_25Mhz_int'
 65. Fast Model Setup: 'clk_div:clkdiv_inst|clock_1Khz_int'
 66. Fast Model Setup: 'clk_div:clkdiv_inst|clock_1Mhz_int'
 67. Fast Model Setup: 'clk_div:clkdiv_inst|clock_100Khz_int'
 68. Fast Model Setup: 'clk_div:clkdiv_inst|clock_10Khz_int'
 69. Fast Model Hold: 'CLOCK_50'
 70. Fast Model Hold: 'clk_div:clkdiv_inst|clock_10Khz_int'
 71. Fast Model Hold: 'clk_div:clkdiv_inst|clock_1Mhz_int'
 72. Fast Model Hold: 'ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered'
 73. Fast Model Hold: 'clk_div:clkdiv_inst|clock_100Khz_int'
 74. Fast Model Hold: 'SW[8]'
 75. Fast Model Hold: 'clk_div:clkdiv_inst|clock_1Khz_int'
 76. Fast Model Hold: 'clk_div:clkdiv_inst|clock_25MHz'
 77. Fast Model Hold: 'clk_div:clkdiv_inst|clock_100Hz'
 78. Fast Model Hold: 'clk_div:clkdiv_inst|clock_25Mhz_int'
 79. Fast Model Recovery: 'ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set'
 80. Fast Model Removal: 'ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set'
 81. Fast Model Minimum Pulse Width: 'clk_div:clkdiv_inst|clock_25MHz'
 82. Fast Model Minimum Pulse Width: 'SW[8]'
 83. Fast Model Minimum Pulse Width: 'CLOCK_50'
 84. Fast Model Minimum Pulse Width: 'ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered'
 85. Fast Model Minimum Pulse Width: 'clk_div:clkdiv_inst|clock_25Mhz_int'
 86. Fast Model Minimum Pulse Width: 'clk_div:clkdiv_inst|clock_100Khz_int'
 87. Fast Model Minimum Pulse Width: 'clk_div:clkdiv_inst|clock_10Khz_int'
 88. Fast Model Minimum Pulse Width: 'clk_div:clkdiv_inst|clock_1Khz_int'
 89. Fast Model Minimum Pulse Width: 'clk_div:clkdiv_inst|clock_1Mhz_int'
 90. Fast Model Minimum Pulse Width: 'clk_div:clkdiv_inst|clock_100Hz'
 91. Fast Model Minimum Pulse Width: 'ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set'
 92. Setup Times
 93. Hold Times
 94. Clock to Output Times
 95. Minimum Clock to Output Times
 96. Propagation Delay
 97. Minimum Propagation Delay
 98. Output Enable Times
 99. Minimum Output Enable Times
100. Output Disable Times
101. Minimum Output Disable Times
102. Multicorner Timing Analysis Summary
103. Setup Times
104. Hold Times
105. Clock to Output Times
106. Minimum Clock to Output Times
107. Progagation Delay
108. Minimum Progagation Delay
109. Setup Transfers
110. Hold Transfers
111. Recovery Transfers
112. Removal Transfers
113. Report TCCS
114. Report RSKM
115. Unconstrained Paths
116. TimeQuest Timing Analyzer Messages



----------------
; Legal Notice ;
----------------
Copyright (C) 1991-2013 Altera Corporation
Your use of Altera Corporation's design tools, logic functions 
and other software and tools, and its AMPP partner logic 
functions, and any output files from any of the foregoing 
(including device programming or simulation files), and any 
associated documentation or information are expressly subject 
to the terms and conditions of the Altera Program License 
Subscription Agreement, Altera MegaCore Function License 
Agreement, or other applicable license agreement, including, 
without limitation, that your use is for the sole purpose of 
programming logic devices manufactured by Altera and sold by 
Altera or its authorized distributors.  Please refer to the 
applicable agreement for further details.



+----------------------------------------------------------------------------------------+
; TimeQuest Timing Analyzer Summary                                                      ;
+--------------------+-------------------------------------------------------------------+
; Quartus II Version ; Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition ;
; Revision Name      ; z80soc                                                            ;
; Device Family      ; Cyclone II                                                        ;
; Device Name        ; EP2C20F484C7                                                      ;
; Timing Models      ; Final                                                             ;
; Delay Model        ; Combined                                                          ;
; Rise/Fall Delays   ; Unavailable                                                       ;
+--------------------+-------------------------------------------------------------------+


Parallel compilation was disabled, but you have multiple processors available. Enable parallel compilation to reduce compilation time.
+-------------------------------------+
; Parallel Compilation                ;
+----------------------------+--------+
; Processors                 ; Number ;
+----------------------------+--------+
; Number detected on machine ; 2      ;
; Maximum allowed            ; 1      ;
+----------------------------+--------+


+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Clocks                                                                                                                                                                                                                                                                                   ;
+-------------------------------------------------------------+------+--------+------------+-------+-------+------------+-----------+-------------+-------+--------+-----------+------------+----------+--------+--------+-----------------------------------------------------------------+
; Clock Name                                                  ; Type ; Period ; Frequency  ; Rise  ; Fall  ; Duty Cycle ; Divide by ; Multiply by ; Phase ; Offset ; Edge List ; Edge Shift ; Inverted ; Master ; Source ; Targets                                                         ;
+-------------------------------------------------------------+------+--------+------------+-------+-------+------------+-----------+-------------+-------+--------+-----------+------------+----------+--------+--------+-----------------------------------------------------------------+
; clk_div:clkdiv_inst|clock_1Khz_int                          ; Base ; 1.000  ; 1000.0 MHz ; 0.000 ; 0.500 ;            ;           ;             ;       ;        ;           ;            ;          ;        ;        ; { clk_div:clkdiv_inst|clock_1Khz_int }                          ;
; clk_div:clkdiv_inst|clock_1Mhz_int                          ; Base ; 1.000  ; 1000.0 MHz ; 0.000 ; 0.500 ;            ;           ;             ;       ;        ;           ;            ;          ;        ;        ; { clk_div:clkdiv_inst|clock_1Mhz_int }                          ;
; clk_div:clkdiv_inst|clock_10Khz_int                         ; Base ; 1.000  ; 1000.0 MHz ; 0.000 ; 0.500 ;            ;           ;             ;       ;        ;           ;            ;          ;        ;        ; { clk_div:clkdiv_inst|clock_10Khz_int }                         ;
; clk_div:clkdiv_inst|clock_25MHz                             ; Base ; 1.000  ; 1000.0 MHz ; 0.000 ; 0.500 ;            ;           ;             ;       ;        ;           ;            ;          ;        ;        ; { clk_div:clkdiv_inst|clock_25MHz }                             ;
; clk_div:clkdiv_inst|clock_25Mhz_int                         ; Base ; 1.000  ; 1000.0 MHz ; 0.000 ; 0.500 ;            ;           ;             ;       ;        ;           ;            ;          ;        ;        ; { clk_div:clkdiv_inst|clock_25Mhz_int }                         ;
; clk_div:clkdiv_inst|clock_100Hz                             ; Base ; 1.000  ; 1000.0 MHz ; 0.000 ; 0.500 ;            ;           ;             ;       ;        ;           ;            ;          ;        ;        ; { clk_div:clkdiv_inst|clock_100Hz }                             ;
; clk_div:clkdiv_inst|clock_100Khz_int                        ; Base ; 1.000  ; 1000.0 MHz ; 0.000 ; 0.500 ;            ;           ;             ;       ;        ;           ;            ;          ;        ;        ; { clk_div:clkdiv_inst|clock_100Khz_int }                        ;
; CLOCK_50                                                    ; Base ; 1.000  ; 1000.0 MHz ; 0.000 ; 0.500 ;            ;           ;             ;       ;        ;           ;            ;          ;        ;        ; { CLOCK_50 }                                                    ;
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Base ; 1.000  ; 1000.0 MHz ; 0.000 ; 0.500 ;            ;           ;             ;       ;        ;           ;            ;          ;        ;        ; { ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered } ;
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set             ; Base ; 1.000  ; 1000.0 MHz ; 0.000 ; 0.500 ;            ;           ;             ;       ;        ;           ;            ;          ;        ;        ; { ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set }             ;
; SW[8]                                                       ; Base ; 1.000  ; 1000.0 MHz ; 0.000 ; 0.500 ;            ;           ;             ;       ;        ;           ;            ;          ;        ;        ; { SW[8] }                                                       ;
+-------------------------------------------------------------+------+--------+------------+-------+-------+------------+-----------+-------------+-------+--------+-----------+------------+----------+--------+--------+-----------------------------------------------------------------+


+-----------------------------------------------------------------------------------------------------------------------------------------------------+
; Slow Model Fmax Summary                                                                                                                             ;
+-------------+-----------------+-------------------------------------------------------------+-------------------------------------------------------+
; Fmax        ; Restricted Fmax ; Clock Name                                                  ; Note                                                  ;
+-------------+-----------------+-------------------------------------------------------------+-------------------------------------------------------+
; 61.03 MHz   ; 61.03 MHz       ; SW[8]                                                       ;                                                       ;
; 133.87 MHz  ; 133.87 MHz      ; clk_div:clkdiv_inst|clock_25MHz                             ;                                                       ;
; 288.52 MHz  ; 288.52 MHz      ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ;                                                       ;
; 309.6 MHz   ; 309.6 MHz       ; CLOCK_50                                                    ;                                                       ;
; 511.51 MHz  ; 450.05 MHz      ; clk_div:clkdiv_inst|clock_25Mhz_int                         ; limit due to high minimum pulse width violation (tch) ;
; 696.86 MHz  ; 450.05 MHz      ; clk_div:clkdiv_inst|clock_1Khz_int                          ; limit due to high minimum pulse width violation (tch) ;
; 701.75 MHz  ; 450.05 MHz      ; clk_div:clkdiv_inst|clock_1Mhz_int                          ; limit due to high minimum pulse width violation (tch) ;
; 789.27 MHz  ; 450.05 MHz      ; clk_div:clkdiv_inst|clock_100Khz_int                        ; limit due to high minimum pulse width violation (tch) ;
; 789.27 MHz  ; 450.05 MHz      ; clk_div:clkdiv_inst|clock_10Khz_int                         ; limit due to high minimum pulse width violation (tch) ;
; 1137.66 MHz ; 450.05 MHz      ; clk_div:clkdiv_inst|clock_100Hz                             ; limit due to high minimum pulse width violation (tch) ;
+-------------+-----------------+-------------------------------------------------------------+-------------------------------------------------------+
This panel reports FMAX for every clock in the design, regardless of the user-specified clock periods.  FMAX is only computed for paths where the source and destination registers or ports are driven by the same clock.  Paths of different clocks, including generated clocks, are ignored.  For paths between a clock and its inversion, FMAX is computed as if the rising and falling edges are scaled along with FMAX, such that the duty cycle (in terms of a percentage) is maintained. Altera recommends that you always use clock constraints and other slack reports for sign-off analysis.


+---------------------------------------------------------------------------------------+
; Slow Model Setup Summary                                                              ;
+-------------------------------------------------------------+---------+---------------+
; Clock                                                       ; Slack   ; End Point TNS ;
+-------------------------------------------------------------+---------+---------------+
; SW[8]                                                       ; -15.385 ; -5094.644     ;
; clk_div:clkdiv_inst|clock_25MHz                             ; -6.834  ; -3515.540     ;
; CLOCK_50                                                    ; -5.869  ; -63.649       ;
; clk_div:clkdiv_inst|clock_100Hz                             ; -2.525  ; -5.050        ;
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; -2.466  ; -45.668       ;
; clk_div:clkdiv_inst|clock_25Mhz_int                         ; -0.955  ; -5.012        ;
; clk_div:clkdiv_inst|clock_1Khz_int                          ; -0.435  ; -0.882        ;
; clk_div:clkdiv_inst|clock_1Mhz_int                          ; -0.425  ; -0.836        ;
; clk_div:clkdiv_inst|clock_100Khz_int                        ; -0.267  ; -0.713        ;
; clk_div:clkdiv_inst|clock_10Khz_int                         ; -0.267  ; -0.713        ;
+-------------------------------------------------------------+---------+---------------+


+--------------------------------------------------------------------------------------+
; Slow Model Hold Summary                                                              ;
+-------------------------------------------------------------+--------+---------------+
; Clock                                                       ; Slack  ; End Point TNS ;
+-------------------------------------------------------------+--------+---------------+
; CLOCK_50                                                    ; -2.703 ; -7.867        ;
; clk_div:clkdiv_inst|clock_10Khz_int                         ; -2.302 ; -2.302        ;
; clk_div:clkdiv_inst|clock_1Mhz_int                          ; -2.263 ; -2.263        ;
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; -2.255 ; -2.255        ;
; SW[8]                                                       ; -2.162 ; -24.476       ;
; clk_div:clkdiv_inst|clock_100Khz_int                        ; -2.129 ; -2.129        ;
; clk_div:clkdiv_inst|clock_1Khz_int                          ; 0.445  ; 0.000         ;
; clk_div:clkdiv_inst|clock_25MHz                             ; 0.445  ; 0.000         ;
; clk_div:clkdiv_inst|clock_100Hz                             ; 0.617  ; 0.000         ;
; clk_div:clkdiv_inst|clock_25Mhz_int                         ; 0.640  ; 0.000         ;
+-------------------------------------------------------------+--------+---------------+


+--------------------------------------------------------------------------+
; Slow Model Recovery Summary                                              ;
+-------------------------------------------------+--------+---------------+
; Clock                                           ; Slack  ; End Point TNS ;
+-------------------------------------------------+--------+---------------+
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set ; -2.017 ; -2.017        ;
+-------------------------------------------------+--------+---------------+


+-------------------------------------------------------------------------+
; Slow Model Removal Summary                                              ;
+-------------------------------------------------+-------+---------------+
; Clock                                           ; Slack ; End Point TNS ;
+-------------------------------------------------+-------+---------------+
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set ; 2.769 ; 0.000         ;
+-------------------------------------------------+-------+---------------+


+--------------------------------------------------------------------------------------+
; Slow Model Minimum Pulse Width Summary                                               ;
+-------------------------------------------------------------+--------+---------------+
; Clock                                                       ; Slack  ; End Point TNS ;
+-------------------------------------------------------------+--------+---------------+
; clk_div:clkdiv_inst|clock_25MHz                             ; -2.064 ; -2334.788     ;
; SW[8]                                                       ; -2.064 ; -1495.845     ;
; CLOCK_50                                                    ; -1.631 ; -60.287       ;
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; -0.611 ; -28.106       ;
; clk_div:clkdiv_inst|clock_25Mhz_int                         ; -0.611 ; -7.332        ;
; clk_div:clkdiv_inst|clock_100Khz_int                        ; -0.611 ; -4.888        ;
; clk_div:clkdiv_inst|clock_10Khz_int                         ; -0.611 ; -4.888        ;
; clk_div:clkdiv_inst|clock_1Khz_int                          ; -0.611 ; -4.888        ;
; clk_div:clkdiv_inst|clock_1Mhz_int                          ; -0.611 ; -4.888        ;
; clk_div:clkdiv_inst|clock_100Hz                             ; -0.611 ; -2.444        ;
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set             ; -0.611 ; -1.222        ;
+-------------------------------------------------------------+--------+---------------+


+------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Slow Model Setup: 'SW[8]'                                                                                                                                        ;
+---------+---------------------------------+------------------------------------------------+--------------+-------------+--------------+------------+------------+
; Slack   ; From Node                       ; To Node                                        ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ;
+---------+---------------------------------+------------------------------------------------+--------------+-------------+--------------+------------+------------+
; -15.385 ; T80se:z80_inst|T80:u0|IR[4]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[2][7] ; SW[8]        ; SW[8]       ; 1.000        ; -0.022     ; 16.401     ;
; -15.384 ; T80se:z80_inst|T80:u0|IR[4]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[1][7] ; SW[8]        ; SW[8]       ; 1.000        ; -0.022     ; 16.400     ;
; -15.374 ; T80se:z80_inst|T80:u0|F[6]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[2][7] ; SW[8]        ; SW[8]       ; 1.000        ; -0.019     ; 16.393     ;
; -15.373 ; T80se:z80_inst|T80:u0|F[6]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[1][7] ; SW[8]        ; SW[8]       ; 1.000        ; -0.019     ; 16.392     ;
; -15.262 ; T80se:z80_inst|T80:u0|IR[4]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[3][6] ; SW[8]        ; SW[8]       ; 1.000        ; -0.023     ; 16.277     ;
; -15.259 ; T80se:z80_inst|T80:u0|IR[4]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[0][6] ; SW[8]        ; SW[8]       ; 1.000        ; -0.023     ; 16.274     ;
; -15.251 ; T80se:z80_inst|T80:u0|F[6]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[3][6] ; SW[8]        ; SW[8]       ; 1.000        ; -0.020     ; 16.269     ;
; -15.248 ; T80se:z80_inst|T80:u0|F[6]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[0][6] ; SW[8]        ; SW[8]       ; 1.000        ; -0.020     ; 16.266     ;
; -15.165 ; T80se:z80_inst|T80:u0|IR[4]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[7][4] ; SW[8]        ; SW[8]       ; 1.000        ; -0.004     ; 16.199     ;
; -15.164 ; T80se:z80_inst|T80:u0|IR[4]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[4][4] ; SW[8]        ; SW[8]       ; 1.000        ; -0.004     ; 16.198     ;
; -15.154 ; T80se:z80_inst|T80:u0|F[6]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[7][4] ; SW[8]        ; SW[8]       ; 1.000        ; -0.001     ; 16.191     ;
; -15.153 ; T80se:z80_inst|T80:u0|F[6]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[4][4] ; SW[8]        ; SW[8]       ; 1.000        ; -0.001     ; 16.190     ;
; -15.128 ; T80se:z80_inst|T80:u0|IR[4]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[3][5] ; SW[8]        ; SW[8]       ; 1.000        ; -0.005     ; 16.161     ;
; -15.123 ; T80se:z80_inst|T80:u0|IR[4]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[0][5] ; SW[8]        ; SW[8]       ; 1.000        ; -0.005     ; 16.156     ;
; -15.117 ; T80se:z80_inst|T80:u0|F[6]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[3][5] ; SW[8]        ; SW[8]       ; 1.000        ; -0.002     ; 16.153     ;
; -15.112 ; T80se:z80_inst|T80:u0|F[6]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[0][5] ; SW[8]        ; SW[8]       ; 1.000        ; -0.002     ; 16.148     ;
; -15.106 ; T80se:z80_inst|T80:u0|IR[4]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[2][1] ; SW[8]        ; SW[8]       ; 1.000        ; -0.018     ; 16.126     ;
; -15.105 ; T80se:z80_inst|T80:u0|IR[4]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[0][7] ; SW[8]        ; SW[8]       ; 1.000        ; -0.023     ; 16.120     ;
; -15.104 ; T80se:z80_inst|T80:u0|IR[4]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[3][7] ; SW[8]        ; SW[8]       ; 1.000        ; -0.023     ; 16.119     ;
; -15.098 ; T80se:z80_inst|T80:u0|IR[4]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[7][5] ; SW[8]        ; SW[8]       ; 1.000        ; -0.004     ; 16.132     ;
; -15.095 ; T80se:z80_inst|T80:u0|IR[4]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[4][5] ; SW[8]        ; SW[8]       ; 1.000        ; -0.004     ; 16.129     ;
; -15.095 ; T80se:z80_inst|T80:u0|F[6]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[2][1] ; SW[8]        ; SW[8]       ; 1.000        ; -0.015     ; 16.118     ;
; -15.094 ; T80se:z80_inst|T80:u0|F[6]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[0][7] ; SW[8]        ; SW[8]       ; 1.000        ; -0.020     ; 16.112     ;
; -15.093 ; T80se:z80_inst|T80:u0|F[6]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[3][7] ; SW[8]        ; SW[8]       ; 1.000        ; -0.020     ; 16.111     ;
; -15.092 ; T80se:z80_inst|T80:u0|IR[4]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[7][7] ; SW[8]        ; SW[8]       ; 1.000        ; -0.014     ; 16.116     ;
; -15.091 ; T80se:z80_inst|T80:u0|IR[4]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[4][7] ; SW[8]        ; SW[8]       ; 1.000        ; -0.014     ; 16.115     ;
; -15.087 ; T80se:z80_inst|T80:u0|F[6]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[7][5] ; SW[8]        ; SW[8]       ; 1.000        ; -0.001     ; 16.124     ;
; -15.085 ; T80se:z80_inst|T80:u0|IR[4]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[1][3] ; SW[8]        ; SW[8]       ; 1.000        ; -0.014     ; 16.109     ;
; -15.084 ; T80se:z80_inst|T80:u0|F[6]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[4][5] ; SW[8]        ; SW[8]       ; 1.000        ; -0.001     ; 16.121     ;
; -15.081 ; T80se:z80_inst|T80:u0|F[6]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[7][7] ; SW[8]        ; SW[8]       ; 1.000        ; -0.011     ; 16.108     ;
; -15.080 ; T80se:z80_inst|T80:u0|F[6]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[4][7] ; SW[8]        ; SW[8]       ; 1.000        ; -0.011     ; 16.107     ;
; -15.074 ; T80se:z80_inst|T80:u0|F[6]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[1][3] ; SW[8]        ; SW[8]       ; 1.000        ; -0.011     ; 16.101     ;
; -15.066 ; T80se:z80_inst|T80:u0|F[2]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[2][7] ; SW[8]        ; SW[8]       ; 1.000        ; -0.019     ; 16.085     ;
; -15.065 ; T80se:z80_inst|T80:u0|F[2]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[1][7] ; SW[8]        ; SW[8]       ; 1.000        ; -0.019     ; 16.084     ;
; -15.061 ; T80se:z80_inst|T80:u0|F[0]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[2][7] ; SW[8]        ; SW[8]       ; 1.000        ; -0.023     ; 16.076     ;
; -15.060 ; T80se:z80_inst|T80:u0|F[0]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[1][7] ; SW[8]        ; SW[8]       ; 1.000        ; -0.023     ; 16.075     ;
; -15.055 ; T80se:z80_inst|T80:u0|IR[4]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[4][1] ; SW[8]        ; SW[8]       ; 1.000        ; -0.027     ; 16.066     ;
; -15.054 ; T80se:z80_inst|T80:u0|IR[4]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[7][1] ; SW[8]        ; SW[8]       ; 1.000        ; -0.027     ; 16.065     ;
; -15.053 ; T80se:z80_inst|T80:u0|IR[4]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[0][1] ; SW[8]        ; SW[8]       ; 1.000        ; -0.001     ; 16.090     ;
; -15.044 ; T80se:z80_inst|T80:u0|F[6]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[4][1] ; SW[8]        ; SW[8]       ; 1.000        ; -0.024     ; 16.058     ;
; -15.043 ; T80se:z80_inst|T80:u0|F[6]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[7][1] ; SW[8]        ; SW[8]       ; 1.000        ; -0.024     ; 16.057     ;
; -15.042 ; T80se:z80_inst|T80:u0|F[6]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[0][1] ; SW[8]        ; SW[8]       ; 1.000        ; 0.002      ; 16.082     ;
; -15.036 ; T80se:z80_inst|T80:u0|MCycle[2] ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[2][7] ; SW[8]        ; SW[8]       ; 1.000        ; -0.024     ; 16.050     ;
; -15.035 ; T80se:z80_inst|T80:u0|MCycle[2] ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[1][7] ; SW[8]        ; SW[8]       ; 1.000        ; -0.024     ; 16.049     ;
; -14.995 ; T80se:z80_inst|T80:u0|F[7]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[2][7] ; SW[8]        ; SW[8]       ; 1.000        ; -0.023     ; 16.010     ;
; -14.994 ; T80se:z80_inst|T80:u0|IR[4]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[2][3] ; SW[8]        ; SW[8]       ; 1.000        ; -0.009     ; 16.023     ;
; -14.994 ; T80se:z80_inst|T80:u0|F[7]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[1][7] ; SW[8]        ; SW[8]       ; 1.000        ; -0.023     ; 16.009     ;
; -14.983 ; T80se:z80_inst|T80:u0|F[6]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[2][3] ; SW[8]        ; SW[8]       ; 1.000        ; -0.006     ; 16.015     ;
; -14.944 ; T80se:z80_inst|T80:u0|IR[4]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[4][6] ; SW[8]        ; SW[8]       ; 1.000        ; -0.014     ; 15.968     ;
; -14.943 ; T80se:z80_inst|T80:u0|F[2]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[3][6] ; SW[8]        ; SW[8]       ; 1.000        ; -0.020     ; 15.961     ;
; -14.940 ; T80se:z80_inst|T80:u0|IR[4]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[7][6] ; SW[8]        ; SW[8]       ; 1.000        ; -0.014     ; 15.964     ;
; -14.940 ; T80se:z80_inst|T80:u0|F[2]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[0][6] ; SW[8]        ; SW[8]       ; 1.000        ; -0.020     ; 15.958     ;
; -14.938 ; T80se:z80_inst|T80:u0|F[0]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[3][6] ; SW[8]        ; SW[8]       ; 1.000        ; -0.024     ; 15.952     ;
; -14.935 ; T80se:z80_inst|T80:u0|F[0]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[0][6] ; SW[8]        ; SW[8]       ; 1.000        ; -0.024     ; 15.949     ;
; -14.933 ; T80se:z80_inst|T80:u0|F[6]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[4][6] ; SW[8]        ; SW[8]       ; 1.000        ; -0.011     ; 15.960     ;
; -14.929 ; T80se:z80_inst|T80:u0|F[6]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[7][6] ; SW[8]        ; SW[8]       ; 1.000        ; -0.011     ; 15.956     ;
; -14.922 ; T80se:z80_inst|T80:u0|IR[4]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[1][0] ; SW[8]        ; SW[8]       ; 1.000        ; -0.022     ; 15.938     ;
; -14.922 ; T80se:z80_inst|T80:u0|IR[4]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[2][0] ; SW[8]        ; SW[8]       ; 1.000        ; -0.022     ; 15.938     ;
; -14.915 ; T80se:z80_inst|T80:u0|IR[4]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[3][4] ; SW[8]        ; SW[8]       ; 1.000        ; -0.023     ; 15.930     ;
; -14.915 ; T80se:z80_inst|T80:u0|IR[4]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[0][4] ; SW[8]        ; SW[8]       ; 1.000        ; -0.023     ; 15.930     ;
; -14.913 ; T80se:z80_inst|T80:u0|MCycle[2] ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[3][6] ; SW[8]        ; SW[8]       ; 1.000        ; -0.025     ; 15.926     ;
; -14.911 ; T80se:z80_inst|T80:u0|IR[4]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[5][6] ; SW[8]        ; SW[8]       ; 1.000        ; -0.013     ; 15.936     ;
; -14.911 ; T80se:z80_inst|T80:u0|F[6]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[1][0] ; SW[8]        ; SW[8]       ; 1.000        ; -0.019     ; 15.930     ;
; -14.911 ; T80se:z80_inst|T80:u0|F[6]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[2][0] ; SW[8]        ; SW[8]       ; 1.000        ; -0.019     ; 15.930     ;
; -14.910 ; T80se:z80_inst|T80:u0|IR[4]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[6][6] ; SW[8]        ; SW[8]       ; 1.000        ; -0.013     ; 15.935     ;
; -14.910 ; T80se:z80_inst|T80:u0|MCycle[2] ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[0][6] ; SW[8]        ; SW[8]       ; 1.000        ; -0.025     ; 15.923     ;
; -14.904 ; T80se:z80_inst|T80:u0|F[6]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[3][4] ; SW[8]        ; SW[8]       ; 1.000        ; -0.020     ; 15.922     ;
; -14.904 ; T80se:z80_inst|T80:u0|F[6]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[0][4] ; SW[8]        ; SW[8]       ; 1.000        ; -0.020     ; 15.922     ;
; -14.900 ; T80se:z80_inst|T80:u0|F[6]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[5][6] ; SW[8]        ; SW[8]       ; 1.000        ; -0.010     ; 15.928     ;
; -14.899 ; T80se:z80_inst|T80:u0|F[6]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[6][6] ; SW[8]        ; SW[8]       ; 1.000        ; -0.010     ; 15.927     ;
; -14.893 ; T80se:z80_inst|T80:u0|IR[4]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[5][0] ; SW[8]        ; SW[8]       ; 1.000        ; -0.013     ; 15.918     ;
; -14.890 ; T80se:z80_inst|T80:u0|IR[4]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[6][0] ; SW[8]        ; SW[8]       ; 1.000        ; -0.013     ; 15.915     ;
; -14.882 ; T80se:z80_inst|T80:u0|F[6]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[5][0] ; SW[8]        ; SW[8]       ; 1.000        ; -0.010     ; 15.910     ;
; -14.879 ; T80se:z80_inst|T80:u0|F[6]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[6][0] ; SW[8]        ; SW[8]       ; 1.000        ; -0.010     ; 15.907     ;
; -14.872 ; T80se:z80_inst|T80:u0|F[7]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[3][6] ; SW[8]        ; SW[8]       ; 1.000        ; -0.024     ; 15.886     ;
; -14.869 ; T80se:z80_inst|T80:u0|F[7]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[0][6] ; SW[8]        ; SW[8]       ; 1.000        ; -0.024     ; 15.883     ;
; -14.846 ; T80se:z80_inst|T80:u0|F[2]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[7][4] ; SW[8]        ; SW[8]       ; 1.000        ; -0.001     ; 15.883     ;
; -14.845 ; T80se:z80_inst|T80:u0|F[2]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[4][4] ; SW[8]        ; SW[8]       ; 1.000        ; -0.001     ; 15.882     ;
; -14.841 ; T80se:z80_inst|T80:u0|F[0]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[7][4] ; SW[8]        ; SW[8]       ; 1.000        ; -0.005     ; 15.874     ;
; -14.840 ; T80se:z80_inst|T80:u0|F[0]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[4][4] ; SW[8]        ; SW[8]       ; 1.000        ; -0.005     ; 15.873     ;
; -14.826 ; T80se:z80_inst|T80:u0|IR[4]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[6][4] ; SW[8]        ; SW[8]       ; 1.000        ; -0.018     ; 15.846     ;
; -14.822 ; T80se:z80_inst|T80:u0|IR[4]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[2][4] ; SW[8]        ; SW[8]       ; 1.000        ; -0.018     ; 15.842     ;
; -14.816 ; T80se:z80_inst|T80:u0|MCycle[2] ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[7][4] ; SW[8]        ; SW[8]       ; 1.000        ; -0.006     ; 15.848     ;
; -14.815 ; T80se:z80_inst|T80:u0|MCycle[2] ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[4][4] ; SW[8]        ; SW[8]       ; 1.000        ; -0.006     ; 15.847     ;
; -14.815 ; T80se:z80_inst|T80:u0|F[6]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[6][4] ; SW[8]        ; SW[8]       ; 1.000        ; -0.015     ; 15.838     ;
; -14.812 ; T80se:z80_inst|T80:u0|IR[4]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[0][5] ; SW[8]        ; SW[8]       ; 1.000        ; -0.001     ; 15.849     ;
; -14.811 ; T80se:z80_inst|T80:u0|F[6]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[2][4] ; SW[8]        ; SW[8]       ; 1.000        ; -0.015     ; 15.834     ;
; -14.809 ; T80se:z80_inst|T80:u0|F[2]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[3][5] ; SW[8]        ; SW[8]       ; 1.000        ; -0.002     ; 15.845     ;
; -14.804 ; T80se:z80_inst|T80:u0|F[0]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[3][5] ; SW[8]        ; SW[8]       ; 1.000        ; -0.006     ; 15.836     ;
; -14.804 ; T80se:z80_inst|T80:u0|F[2]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[0][5] ; SW[8]        ; SW[8]       ; 1.000        ; -0.002     ; 15.840     ;
; -14.801 ; T80se:z80_inst|T80:u0|F[6]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[0][5] ; SW[8]        ; SW[8]       ; 1.000        ; 0.002      ; 15.841     ;
; -14.799 ; T80se:z80_inst|T80:u0|IR[4]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[6][3] ; SW[8]        ; SW[8]       ; 1.000        ; -0.013     ; 15.824     ;
; -14.799 ; T80se:z80_inst|T80:u0|IR[4]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[5][3] ; SW[8]        ; SW[8]       ; 1.000        ; -0.013     ; 15.824     ;
; -14.799 ; T80se:z80_inst|T80:u0|F[0]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[0][5] ; SW[8]        ; SW[8]       ; 1.000        ; -0.006     ; 15.831     ;
; -14.788 ; T80se:z80_inst|T80:u0|F[6]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[6][3] ; SW[8]        ; SW[8]       ; 1.000        ; -0.010     ; 15.816     ;
; -14.788 ; T80se:z80_inst|T80:u0|F[6]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[5][3] ; SW[8]        ; SW[8]       ; 1.000        ; -0.010     ; 15.816     ;
; -14.787 ; T80se:z80_inst|T80:u0|F[2]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[2][1] ; SW[8]        ; SW[8]       ; 1.000        ; -0.015     ; 15.810     ;
; -14.786 ; T80se:z80_inst|T80:u0|F[2]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[0][7] ; SW[8]        ; SW[8]       ; 1.000        ; -0.020     ; 15.804     ;
; -14.785 ; T80se:z80_inst|T80:u0|F[2]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[3][7] ; SW[8]        ; SW[8]       ; 1.000        ; -0.020     ; 15.803     ;
; -14.782 ; T80se:z80_inst|T80:u0|F[0]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[2][1] ; SW[8]        ; SW[8]       ; 1.000        ; -0.019     ; 15.801     ;
+---------+---------------------------------+------------------------------------------------+--------------+-------------+--------------+------------+------------+


+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Slow Model Setup: 'clk_div:clkdiv_inst|clock_25MHz'                                                                                                                                                                                            ;
+--------+-----------------------------+---------------------------------------------------------------------------------------------------------------+--------------+---------------------------------+--------------+------------+------------+
; Slack  ; From Node                   ; To Node                                                                                                       ; Launch Clock ; Latch Clock                     ; Relationship ; Clock Skew ; Data Delay ;
+--------+-----------------------------+---------------------------------------------------------------------------------------------------------------+--------------+---------------------------------+--------------+------------+------------+
; -6.834 ; T80se:z80_inst|T80:u0|A[12] ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_tr91:auto_generated|ram_block1a10~porta_address_reg11 ; SW[8]        ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -3.609     ; 4.185      ;
; -6.834 ; T80se:z80_inst|T80:u0|A[12] ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_tr91:auto_generated|ram_block1a10~porta_address_reg10 ; SW[8]        ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -3.609     ; 4.185      ;
; -6.834 ; T80se:z80_inst|T80:u0|A[12] ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_tr91:auto_generated|ram_block1a10~porta_address_reg9  ; SW[8]        ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -3.609     ; 4.185      ;
; -6.834 ; T80se:z80_inst|T80:u0|A[12] ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_tr91:auto_generated|ram_block1a10~porta_address_reg8  ; SW[8]        ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -3.609     ; 4.185      ;
; -6.834 ; T80se:z80_inst|T80:u0|A[12] ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_tr91:auto_generated|ram_block1a10~porta_address_reg7  ; SW[8]        ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -3.609     ; 4.185      ;
; -6.834 ; T80se:z80_inst|T80:u0|A[12] ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_tr91:auto_generated|ram_block1a10~porta_address_reg6  ; SW[8]        ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -3.609     ; 4.185      ;
; -6.834 ; T80se:z80_inst|T80:u0|A[12] ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_tr91:auto_generated|ram_block1a10~porta_address_reg5  ; SW[8]        ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -3.609     ; 4.185      ;
; -6.834 ; T80se:z80_inst|T80:u0|A[12] ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_tr91:auto_generated|ram_block1a10~porta_address_reg4  ; SW[8]        ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -3.609     ; 4.185      ;
; -6.834 ; T80se:z80_inst|T80:u0|A[12] ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_tr91:auto_generated|ram_block1a10~porta_address_reg3  ; SW[8]        ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -3.609     ; 4.185      ;
; -6.834 ; T80se:z80_inst|T80:u0|A[12] ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_tr91:auto_generated|ram_block1a10~porta_address_reg2  ; SW[8]        ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -3.609     ; 4.185      ;
; -6.834 ; T80se:z80_inst|T80:u0|A[12] ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_tr91:auto_generated|ram_block1a10~porta_address_reg1  ; SW[8]        ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -3.609     ; 4.185      ;
; -6.834 ; T80se:z80_inst|T80:u0|A[12] ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_tr91:auto_generated|ram_block1a10~porta_address_reg0  ; SW[8]        ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -3.609     ; 4.185      ;
; -6.825 ; T80se:z80_inst|T80:u0|A[12] ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_tr91:auto_generated|ram_block1a13~porta_address_reg11 ; SW[8]        ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -3.605     ; 4.180      ;
; -6.825 ; T80se:z80_inst|T80:u0|A[12] ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_tr91:auto_generated|ram_block1a13~porta_address_reg10 ; SW[8]        ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -3.605     ; 4.180      ;
; -6.825 ; T80se:z80_inst|T80:u0|A[12] ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_tr91:auto_generated|ram_block1a13~porta_address_reg9  ; SW[8]        ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -3.605     ; 4.180      ;
; -6.825 ; T80se:z80_inst|T80:u0|A[12] ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_tr91:auto_generated|ram_block1a13~porta_address_reg8  ; SW[8]        ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -3.605     ; 4.180      ;
; -6.825 ; T80se:z80_inst|T80:u0|A[12] ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_tr91:auto_generated|ram_block1a13~porta_address_reg7  ; SW[8]        ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -3.605     ; 4.180      ;
; -6.825 ; T80se:z80_inst|T80:u0|A[12] ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_tr91:auto_generated|ram_block1a13~porta_address_reg6  ; SW[8]        ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -3.605     ; 4.180      ;
; -6.825 ; T80se:z80_inst|T80:u0|A[12] ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_tr91:auto_generated|ram_block1a13~porta_address_reg5  ; SW[8]        ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -3.605     ; 4.180      ;
; -6.825 ; T80se:z80_inst|T80:u0|A[12] ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_tr91:auto_generated|ram_block1a13~porta_address_reg4  ; SW[8]        ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -3.605     ; 4.180      ;
; -6.825 ; T80se:z80_inst|T80:u0|A[12] ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_tr91:auto_generated|ram_block1a13~porta_address_reg3  ; SW[8]        ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -3.605     ; 4.180      ;
; -6.825 ; T80se:z80_inst|T80:u0|A[12] ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_tr91:auto_generated|ram_block1a13~porta_address_reg2  ; SW[8]        ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -3.605     ; 4.180      ;
; -6.825 ; T80se:z80_inst|T80:u0|A[12] ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_tr91:auto_generated|ram_block1a13~porta_address_reg1  ; SW[8]        ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -3.605     ; 4.180      ;
; -6.825 ; T80se:z80_inst|T80:u0|A[12] ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_tr91:auto_generated|ram_block1a13~porta_address_reg0  ; SW[8]        ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -3.605     ; 4.180      ;
; -6.809 ; T80se:z80_inst|T80:u0|A[12] ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_tr91:auto_generated|ram_block1a19~porta_address_reg11 ; SW[8]        ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -3.606     ; 4.163      ;
; -6.809 ; T80se:z80_inst|T80:u0|A[12] ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_tr91:auto_generated|ram_block1a19~porta_address_reg10 ; SW[8]        ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -3.606     ; 4.163      ;
; -6.809 ; T80se:z80_inst|T80:u0|A[12] ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_tr91:auto_generated|ram_block1a19~porta_address_reg9  ; SW[8]        ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -3.606     ; 4.163      ;
; -6.809 ; T80se:z80_inst|T80:u0|A[12] ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_tr91:auto_generated|ram_block1a19~porta_address_reg8  ; SW[8]        ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -3.606     ; 4.163      ;
; -6.809 ; T80se:z80_inst|T80:u0|A[12] ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_tr91:auto_generated|ram_block1a19~porta_address_reg7  ; SW[8]        ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -3.606     ; 4.163      ;
; -6.809 ; T80se:z80_inst|T80:u0|A[12] ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_tr91:auto_generated|ram_block1a19~porta_address_reg6  ; SW[8]        ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -3.606     ; 4.163      ;
; -6.809 ; T80se:z80_inst|T80:u0|A[12] ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_tr91:auto_generated|ram_block1a19~porta_address_reg5  ; SW[8]        ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -3.606     ; 4.163      ;
; -6.809 ; T80se:z80_inst|T80:u0|A[12] ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_tr91:auto_generated|ram_block1a19~porta_address_reg4  ; SW[8]        ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -3.606     ; 4.163      ;
; -6.809 ; T80se:z80_inst|T80:u0|A[12] ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_tr91:auto_generated|ram_block1a19~porta_address_reg3  ; SW[8]        ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -3.606     ; 4.163      ;
; -6.809 ; T80se:z80_inst|T80:u0|A[12] ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_tr91:auto_generated|ram_block1a19~porta_address_reg2  ; SW[8]        ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -3.606     ; 4.163      ;
; -6.809 ; T80se:z80_inst|T80:u0|A[12] ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_tr91:auto_generated|ram_block1a19~porta_address_reg1  ; SW[8]        ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -3.606     ; 4.163      ;
; -6.809 ; T80se:z80_inst|T80:u0|A[12] ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_tr91:auto_generated|ram_block1a19~porta_address_reg0  ; SW[8]        ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -3.606     ; 4.163      ;
; -6.777 ; T80se:z80_inst|T80:u0|A[12] ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_tr91:auto_generated|ram_block1a3~porta_address_reg11  ; SW[8]        ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -3.612     ; 4.125      ;
; -6.777 ; T80se:z80_inst|T80:u0|A[12] ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_tr91:auto_generated|ram_block1a3~porta_address_reg10  ; SW[8]        ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -3.612     ; 4.125      ;
; -6.777 ; T80se:z80_inst|T80:u0|A[12] ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_tr91:auto_generated|ram_block1a3~porta_address_reg9   ; SW[8]        ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -3.612     ; 4.125      ;
; -6.777 ; T80se:z80_inst|T80:u0|A[12] ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_tr91:auto_generated|ram_block1a3~porta_address_reg8   ; SW[8]        ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -3.612     ; 4.125      ;
; -6.777 ; T80se:z80_inst|T80:u0|A[12] ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_tr91:auto_generated|ram_block1a3~porta_address_reg7   ; SW[8]        ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -3.612     ; 4.125      ;
; -6.777 ; T80se:z80_inst|T80:u0|A[12] ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_tr91:auto_generated|ram_block1a3~porta_address_reg6   ; SW[8]        ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -3.612     ; 4.125      ;
; -6.777 ; T80se:z80_inst|T80:u0|A[12] ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_tr91:auto_generated|ram_block1a3~porta_address_reg5   ; SW[8]        ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -3.612     ; 4.125      ;
; -6.777 ; T80se:z80_inst|T80:u0|A[12] ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_tr91:auto_generated|ram_block1a3~porta_address_reg4   ; SW[8]        ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -3.612     ; 4.125      ;
; -6.777 ; T80se:z80_inst|T80:u0|A[12] ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_tr91:auto_generated|ram_block1a3~porta_address_reg3   ; SW[8]        ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -3.612     ; 4.125      ;
; -6.777 ; T80se:z80_inst|T80:u0|A[12] ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_tr91:auto_generated|ram_block1a3~porta_address_reg2   ; SW[8]        ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -3.612     ; 4.125      ;
; -6.777 ; T80se:z80_inst|T80:u0|A[12] ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_tr91:auto_generated|ram_block1a3~porta_address_reg1   ; SW[8]        ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -3.612     ; 4.125      ;
; -6.777 ; T80se:z80_inst|T80:u0|A[12] ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_tr91:auto_generated|ram_block1a3~porta_address_reg0   ; SW[8]        ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -3.612     ; 4.125      ;
; -6.768 ; T80se:z80_inst|T80:u0|A[12] ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_tr91:auto_generated|ram_block1a7~porta_address_reg11  ; SW[8]        ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -3.607     ; 4.121      ;
; -6.768 ; T80se:z80_inst|T80:u0|A[12] ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_tr91:auto_generated|ram_block1a7~porta_address_reg10  ; SW[8]        ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -3.607     ; 4.121      ;
; -6.768 ; T80se:z80_inst|T80:u0|A[12] ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_tr91:auto_generated|ram_block1a7~porta_address_reg9   ; SW[8]        ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -3.607     ; 4.121      ;
; -6.768 ; T80se:z80_inst|T80:u0|A[12] ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_tr91:auto_generated|ram_block1a7~porta_address_reg8   ; SW[8]        ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -3.607     ; 4.121      ;
; -6.768 ; T80se:z80_inst|T80:u0|A[12] ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_tr91:auto_generated|ram_block1a7~porta_address_reg7   ; SW[8]        ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -3.607     ; 4.121      ;
; -6.768 ; T80se:z80_inst|T80:u0|A[12] ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_tr91:auto_generated|ram_block1a7~porta_address_reg6   ; SW[8]        ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -3.607     ; 4.121      ;
; -6.768 ; T80se:z80_inst|T80:u0|A[12] ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_tr91:auto_generated|ram_block1a7~porta_address_reg5   ; SW[8]        ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -3.607     ; 4.121      ;
; -6.768 ; T80se:z80_inst|T80:u0|A[12] ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_tr91:auto_generated|ram_block1a7~porta_address_reg4   ; SW[8]        ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -3.607     ; 4.121      ;
; -6.768 ; T80se:z80_inst|T80:u0|A[12] ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_tr91:auto_generated|ram_block1a7~porta_address_reg3   ; SW[8]        ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -3.607     ; 4.121      ;
; -6.768 ; T80se:z80_inst|T80:u0|A[12] ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_tr91:auto_generated|ram_block1a7~porta_address_reg2   ; SW[8]        ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -3.607     ; 4.121      ;
; -6.768 ; T80se:z80_inst|T80:u0|A[12] ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_tr91:auto_generated|ram_block1a7~porta_address_reg1   ; SW[8]        ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -3.607     ; 4.121      ;
; -6.768 ; T80se:z80_inst|T80:u0|A[12] ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_tr91:auto_generated|ram_block1a7~porta_address_reg0   ; SW[8]        ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -3.607     ; 4.121      ;
; -6.759 ; T80se:z80_inst|T80:u0|A[12] ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_tr91:auto_generated|ram_block1a6~porta_address_reg11  ; SW[8]        ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -3.618     ; 4.101      ;
; -6.759 ; T80se:z80_inst|T80:u0|A[12] ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_tr91:auto_generated|ram_block1a6~porta_address_reg10  ; SW[8]        ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -3.618     ; 4.101      ;
; -6.759 ; T80se:z80_inst|T80:u0|A[12] ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_tr91:auto_generated|ram_block1a6~porta_address_reg9   ; SW[8]        ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -3.618     ; 4.101      ;
; -6.759 ; T80se:z80_inst|T80:u0|A[12] ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_tr91:auto_generated|ram_block1a6~porta_address_reg8   ; SW[8]        ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -3.618     ; 4.101      ;
; -6.759 ; T80se:z80_inst|T80:u0|A[12] ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_tr91:auto_generated|ram_block1a6~porta_address_reg7   ; SW[8]        ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -3.618     ; 4.101      ;
; -6.759 ; T80se:z80_inst|T80:u0|A[12] ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_tr91:auto_generated|ram_block1a6~porta_address_reg6   ; SW[8]        ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -3.618     ; 4.101      ;
; -6.759 ; T80se:z80_inst|T80:u0|A[12] ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_tr91:auto_generated|ram_block1a6~porta_address_reg5   ; SW[8]        ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -3.618     ; 4.101      ;
; -6.759 ; T80se:z80_inst|T80:u0|A[12] ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_tr91:auto_generated|ram_block1a6~porta_address_reg4   ; SW[8]        ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -3.618     ; 4.101      ;
; -6.759 ; T80se:z80_inst|T80:u0|A[12] ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_tr91:auto_generated|ram_block1a6~porta_address_reg3   ; SW[8]        ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -3.618     ; 4.101      ;
; -6.759 ; T80se:z80_inst|T80:u0|A[12] ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_tr91:auto_generated|ram_block1a6~porta_address_reg2   ; SW[8]        ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -3.618     ; 4.101      ;
; -6.759 ; T80se:z80_inst|T80:u0|A[12] ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_tr91:auto_generated|ram_block1a6~porta_address_reg1   ; SW[8]        ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -3.618     ; 4.101      ;
; -6.759 ; T80se:z80_inst|T80:u0|A[12] ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_tr91:auto_generated|ram_block1a6~porta_address_reg0   ; SW[8]        ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -3.618     ; 4.101      ;
; -6.755 ; T80se:z80_inst|T80:u0|A[12] ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_tr91:auto_generated|ram_block1a4~porta_address_reg11  ; SW[8]        ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -3.610     ; 4.105      ;
; -6.755 ; T80se:z80_inst|T80:u0|A[12] ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_tr91:auto_generated|ram_block1a4~porta_address_reg10  ; SW[8]        ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -3.610     ; 4.105      ;
; -6.755 ; T80se:z80_inst|T80:u0|A[12] ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_tr91:auto_generated|ram_block1a4~porta_address_reg9   ; SW[8]        ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -3.610     ; 4.105      ;
; -6.755 ; T80se:z80_inst|T80:u0|A[12] ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_tr91:auto_generated|ram_block1a4~porta_address_reg8   ; SW[8]        ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -3.610     ; 4.105      ;
; -6.755 ; T80se:z80_inst|T80:u0|A[12] ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_tr91:auto_generated|ram_block1a4~porta_address_reg7   ; SW[8]        ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -3.610     ; 4.105      ;
; -6.755 ; T80se:z80_inst|T80:u0|A[12] ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_tr91:auto_generated|ram_block1a4~porta_address_reg6   ; SW[8]        ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -3.610     ; 4.105      ;
; -6.755 ; T80se:z80_inst|T80:u0|A[12] ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_tr91:auto_generated|ram_block1a4~porta_address_reg5   ; SW[8]        ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -3.610     ; 4.105      ;
; -6.755 ; T80se:z80_inst|T80:u0|A[12] ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_tr91:auto_generated|ram_block1a4~porta_address_reg4   ; SW[8]        ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -3.610     ; 4.105      ;
; -6.755 ; T80se:z80_inst|T80:u0|A[12] ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_tr91:auto_generated|ram_block1a4~porta_address_reg3   ; SW[8]        ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -3.610     ; 4.105      ;
; -6.755 ; T80se:z80_inst|T80:u0|A[12] ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_tr91:auto_generated|ram_block1a4~porta_address_reg2   ; SW[8]        ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -3.610     ; 4.105      ;
; -6.755 ; T80se:z80_inst|T80:u0|A[12] ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_tr91:auto_generated|ram_block1a4~porta_address_reg1   ; SW[8]        ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -3.610     ; 4.105      ;
; -6.755 ; T80se:z80_inst|T80:u0|A[12] ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_tr91:auto_generated|ram_block1a4~porta_address_reg0   ; SW[8]        ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -3.610     ; 4.105      ;
; -6.713 ; T80se:z80_inst|T80:u0|A[12] ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_tr91:auto_generated|ram_block1a9~porta_address_reg11  ; SW[8]        ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -3.617     ; 4.056      ;
; -6.713 ; T80se:z80_inst|T80:u0|A[12] ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_tr91:auto_generated|ram_block1a9~porta_address_reg10  ; SW[8]        ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -3.617     ; 4.056      ;
; -6.713 ; T80se:z80_inst|T80:u0|A[12] ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_tr91:auto_generated|ram_block1a9~porta_address_reg9   ; SW[8]        ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -3.617     ; 4.056      ;
; -6.713 ; T80se:z80_inst|T80:u0|A[12] ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_tr91:auto_generated|ram_block1a9~porta_address_reg8   ; SW[8]        ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -3.617     ; 4.056      ;
; -6.713 ; T80se:z80_inst|T80:u0|A[12] ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_tr91:auto_generated|ram_block1a9~porta_address_reg7   ; SW[8]        ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -3.617     ; 4.056      ;
; -6.713 ; T80se:z80_inst|T80:u0|A[12] ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_tr91:auto_generated|ram_block1a9~porta_address_reg6   ; SW[8]        ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -3.617     ; 4.056      ;
; -6.713 ; T80se:z80_inst|T80:u0|A[12] ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_tr91:auto_generated|ram_block1a9~porta_address_reg5   ; SW[8]        ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -3.617     ; 4.056      ;
; -6.713 ; T80se:z80_inst|T80:u0|A[12] ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_tr91:auto_generated|ram_block1a9~porta_address_reg4   ; SW[8]        ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -3.617     ; 4.056      ;
; -6.713 ; T80se:z80_inst|T80:u0|A[12] ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_tr91:auto_generated|ram_block1a9~porta_address_reg3   ; SW[8]        ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -3.617     ; 4.056      ;
; -6.713 ; T80se:z80_inst|T80:u0|A[12] ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_tr91:auto_generated|ram_block1a9~porta_address_reg2   ; SW[8]        ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -3.617     ; 4.056      ;
; -6.713 ; T80se:z80_inst|T80:u0|A[12] ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_tr91:auto_generated|ram_block1a9~porta_address_reg1   ; SW[8]        ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -3.617     ; 4.056      ;
; -6.713 ; T80se:z80_inst|T80:u0|A[12] ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_tr91:auto_generated|ram_block1a9~porta_address_reg0   ; SW[8]        ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -3.617     ; 4.056      ;
; -6.659 ; T80se:z80_inst|T80:u0|A[13] ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_tr91:auto_generated|ram_block1a10~porta_address_reg11 ; SW[8]        ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -3.609     ; 4.010      ;
; -6.659 ; T80se:z80_inst|T80:u0|A[13] ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_tr91:auto_generated|ram_block1a10~porta_address_reg10 ; SW[8]        ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -3.609     ; 4.010      ;
; -6.659 ; T80se:z80_inst|T80:u0|A[13] ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_tr91:auto_generated|ram_block1a10~porta_address_reg9  ; SW[8]        ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -3.609     ; 4.010      ;
; -6.659 ; T80se:z80_inst|T80:u0|A[13] ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_tr91:auto_generated|ram_block1a10~porta_address_reg8  ; SW[8]        ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -3.609     ; 4.010      ;
+--------+-----------------------------+---------------------------------------------------------------------------------------------------------------+--------------+---------------------------------+--------------+------------+------------+


+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Slow Model Setup: 'CLOCK_50'                                                                                                                                                                                                                   ;
+--------+----------------------------------------------------+-------------------------------------------------------------+-------------------------------------------------------------+-------------+--------------+------------+------------+
; Slack  ; From Node                                          ; To Node                                                     ; Launch Clock                                                ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ;
+--------+----------------------------------------------------+-------------------------------------------------------------+-------------------------------------------------------------+-------------+--------------+------------+------------+
; -5.869 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[3] ; ps2_ascii_reg1[4]                                           ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; 0.404      ; 7.311      ;
; -5.794 ; ps2kbd:ps2_kbd_inst|caps[0]                        ; ps2_ascii_reg1[4]                                           ; clk_div:clkdiv_inst|clock_100Hz                             ; CLOCK_50    ; 1.000        ; 0.266      ; 7.098      ;
; -5.759 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[0] ; ps2_ascii_reg1[4]                                           ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; 0.405      ; 7.202      ;
; -5.691 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[3] ; ps2_ascii_reg1[2]                                           ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; 0.404      ; 7.133      ;
; -5.691 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[3] ; ps2_ascii_reg1[6]                                           ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; 0.404      ; 7.133      ;
; -5.691 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[3] ; ps2_ascii_reg1[5]                                           ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; 0.404      ; 7.133      ;
; -5.691 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[3] ; ps2_ascii_reg1[1]                                           ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; 0.404      ; 7.133      ;
; -5.691 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[3] ; ps2_ascii_reg1[3]                                           ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; 0.404      ; 7.133      ;
; -5.691 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[3] ; ps2_ascii_reg1[0]                                           ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; 0.404      ; 7.133      ;
; -5.691 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[3] ; ps2_ascii_reg1[7]                                           ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; 0.404      ; 7.133      ;
; -5.654 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[1] ; ps2_ascii_reg1[4]                                           ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; 0.405      ; 7.097      ;
; -5.616 ; ps2kbd:ps2_kbd_inst|caps[0]                        ; ps2_ascii_reg1[2]                                           ; clk_div:clkdiv_inst|clock_100Hz                             ; CLOCK_50    ; 1.000        ; 0.266      ; 6.920      ;
; -5.616 ; ps2kbd:ps2_kbd_inst|caps[0]                        ; ps2_ascii_reg1[6]                                           ; clk_div:clkdiv_inst|clock_100Hz                             ; CLOCK_50    ; 1.000        ; 0.266      ; 6.920      ;
; -5.616 ; ps2kbd:ps2_kbd_inst|caps[0]                        ; ps2_ascii_reg1[5]                                           ; clk_div:clkdiv_inst|clock_100Hz                             ; CLOCK_50    ; 1.000        ; 0.266      ; 6.920      ;
; -5.616 ; ps2kbd:ps2_kbd_inst|caps[0]                        ; ps2_ascii_reg1[1]                                           ; clk_div:clkdiv_inst|clock_100Hz                             ; CLOCK_50    ; 1.000        ; 0.266      ; 6.920      ;
; -5.616 ; ps2kbd:ps2_kbd_inst|caps[0]                        ; ps2_ascii_reg1[3]                                           ; clk_div:clkdiv_inst|clock_100Hz                             ; CLOCK_50    ; 1.000        ; 0.266      ; 6.920      ;
; -5.616 ; ps2kbd:ps2_kbd_inst|caps[0]                        ; ps2_ascii_reg1[0]                                           ; clk_div:clkdiv_inst|clock_100Hz                             ; CLOCK_50    ; 1.000        ; 0.266      ; 6.920      ;
; -5.616 ; ps2kbd:ps2_kbd_inst|caps[0]                        ; ps2_ascii_reg1[7]                                           ; clk_div:clkdiv_inst|clock_100Hz                             ; CLOCK_50    ; 1.000        ; 0.266      ; 6.920      ;
; -5.613 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[5] ; ps2_ascii_reg1[4]                                           ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; 0.404      ; 7.055      ;
; -5.581 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[0] ; ps2_ascii_reg1[2]                                           ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; 0.405      ; 7.024      ;
; -5.581 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[0] ; ps2_ascii_reg1[6]                                           ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; 0.405      ; 7.024      ;
; -5.581 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[0] ; ps2_ascii_reg1[5]                                           ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; 0.405      ; 7.024      ;
; -5.581 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[0] ; ps2_ascii_reg1[1]                                           ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; 0.405      ; 7.024      ;
; -5.581 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[0] ; ps2_ascii_reg1[3]                                           ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; 0.405      ; 7.024      ;
; -5.581 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[0] ; ps2_ascii_reg1[0]                                           ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; 0.405      ; 7.024      ;
; -5.581 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[0] ; ps2_ascii_reg1[7]                                           ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; 0.405      ; 7.024      ;
; -5.530 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[4] ; ps2_ascii_reg1[4]                                           ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; 0.404      ; 6.972      ;
; -5.476 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[1] ; ps2_ascii_reg1[2]                                           ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; 0.405      ; 6.919      ;
; -5.476 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[1] ; ps2_ascii_reg1[6]                                           ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; 0.405      ; 6.919      ;
; -5.476 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[1] ; ps2_ascii_reg1[5]                                           ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; 0.405      ; 6.919      ;
; -5.476 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[1] ; ps2_ascii_reg1[1]                                           ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; 0.405      ; 6.919      ;
; -5.476 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[1] ; ps2_ascii_reg1[3]                                           ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; 0.405      ; 6.919      ;
; -5.476 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[1] ; ps2_ascii_reg1[0]                                           ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; 0.405      ; 6.919      ;
; -5.476 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[1] ; ps2_ascii_reg1[7]                                           ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; 0.405      ; 6.919      ;
; -5.435 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[5] ; ps2_ascii_reg1[2]                                           ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; 0.404      ; 6.877      ;
; -5.435 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[5] ; ps2_ascii_reg1[6]                                           ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; 0.404      ; 6.877      ;
; -5.435 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[5] ; ps2_ascii_reg1[5]                                           ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; 0.404      ; 6.877      ;
; -5.435 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[5] ; ps2_ascii_reg1[1]                                           ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; 0.404      ; 6.877      ;
; -5.435 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[5] ; ps2_ascii_reg1[3]                                           ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; 0.404      ; 6.877      ;
; -5.435 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[5] ; ps2_ascii_reg1[0]                                           ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; 0.404      ; 6.877      ;
; -5.435 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[5] ; ps2_ascii_reg1[7]                                           ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; 0.404      ; 6.877      ;
; -5.365 ; ps2kbd:ps2_kbd_inst|caps[0]                        ; ps2_read                                                    ; clk_div:clkdiv_inst|clock_100Hz                             ; CLOCK_50    ; 1.000        ; 0.266      ; 6.669      ;
; -5.352 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[4] ; ps2_ascii_reg1[2]                                           ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; 0.404      ; 6.794      ;
; -5.352 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[4] ; ps2_ascii_reg1[6]                                           ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; 0.404      ; 6.794      ;
; -5.352 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[4] ; ps2_ascii_reg1[5]                                           ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; 0.404      ; 6.794      ;
; -5.352 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[4] ; ps2_ascii_reg1[1]                                           ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; 0.404      ; 6.794      ;
; -5.352 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[4] ; ps2_ascii_reg1[3]                                           ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; 0.404      ; 6.794      ;
; -5.352 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[4] ; ps2_ascii_reg1[0]                                           ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; 0.404      ; 6.794      ;
; -5.352 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[4] ; ps2_ascii_reg1[7]                                           ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; 0.404      ; 6.794      ;
; -5.338 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[2] ; ps2_ascii_reg1[4]                                           ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; 0.405      ; 6.781      ;
; -5.230 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[3] ; ps2_read                                                    ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; 0.404      ; 6.672      ;
; -5.225 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[1] ; ps2_read                                                    ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; 0.405      ; 6.668      ;
; -5.207 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[6] ; ps2_ascii_reg1[4]                                           ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; 0.405      ; 6.650      ;
; -5.160 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[2] ; ps2_ascii_reg1[2]                                           ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; 0.405      ; 6.603      ;
; -5.160 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[2] ; ps2_ascii_reg1[6]                                           ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; 0.405      ; 6.603      ;
; -5.160 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[2] ; ps2_ascii_reg1[5]                                           ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; 0.405      ; 6.603      ;
; -5.160 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[2] ; ps2_ascii_reg1[1]                                           ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; 0.405      ; 6.603      ;
; -5.160 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[2] ; ps2_ascii_reg1[3]                                           ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; 0.405      ; 6.603      ;
; -5.160 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[2] ; ps2_ascii_reg1[0]                                           ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; 0.405      ; 6.603      ;
; -5.160 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[2] ; ps2_ascii_reg1[7]                                           ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; 0.405      ; 6.603      ;
; -5.101 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[4] ; ps2_read                                                    ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; 0.404      ; 6.543      ;
; -5.029 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[6] ; ps2_ascii_reg1[2]                                           ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; 0.405      ; 6.472      ;
; -5.029 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[6] ; ps2_ascii_reg1[6]                                           ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; 0.405      ; 6.472      ;
; -5.029 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[6] ; ps2_ascii_reg1[5]                                           ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; 0.405      ; 6.472      ;
; -5.029 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[6] ; ps2_ascii_reg1[1]                                           ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; 0.405      ; 6.472      ;
; -5.029 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[6] ; ps2_ascii_reg1[3]                                           ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; 0.405      ; 6.472      ;
; -5.029 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[6] ; ps2_ascii_reg1[0]                                           ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; 0.405      ; 6.472      ;
; -5.029 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[6] ; ps2_ascii_reg1[7]                                           ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; 0.405      ; 6.472      ;
; -4.974 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[5] ; ps2_read                                                    ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; 0.404      ; 6.416      ;
; -4.951 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[0] ; ps2_read                                                    ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; 0.405      ; 6.394      ;
; -4.909 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[2] ; ps2_read                                                    ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; 0.405      ; 6.352      ;
; -4.568 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[6] ; ps2_read                                                    ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; 0.405      ; 6.011      ;
; -2.417 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[7] ; ps2_ascii_reg1[4]                                           ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; 0.413      ; 3.868      ;
; -2.239 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[7] ; ps2_ascii_reg1[2]                                           ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; 0.413      ; 3.690      ;
; -2.239 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[7] ; ps2_ascii_reg1[6]                                           ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; 0.413      ; 3.690      ;
; -2.239 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[7] ; ps2_ascii_reg1[5]                                           ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; 0.413      ; 3.690      ;
; -2.239 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[7] ; ps2_ascii_reg1[1]                                           ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; 0.413      ; 3.690      ;
; -2.239 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[7] ; ps2_ascii_reg1[3]                                           ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; 0.413      ; 3.690      ;
; -2.239 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[7] ; ps2_ascii_reg1[0]                                           ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; 0.413      ; 3.690      ;
; -2.239 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[7] ; ps2_ascii_reg1[7]                                           ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; 0.413      ; 3.690      ;
; -2.230 ; ps2_read                                           ; ps2_ascii_reg1[4]                                           ; CLOCK_50                                                    ; CLOCK_50    ; 1.000        ; 0.000      ; 3.268      ;
; -2.052 ; ps2_read                                           ; ps2_ascii_reg1[2]                                           ; CLOCK_50                                                    ; CLOCK_50    ; 1.000        ; 0.000      ; 3.090      ;
; -2.052 ; ps2_read                                           ; ps2_ascii_reg1[6]                                           ; CLOCK_50                                                    ; CLOCK_50    ; 1.000        ; 0.000      ; 3.090      ;
; -2.052 ; ps2_read                                           ; ps2_ascii_reg1[5]                                           ; CLOCK_50                                                    ; CLOCK_50    ; 1.000        ; 0.000      ; 3.090      ;
; -2.052 ; ps2_read                                           ; ps2_ascii_reg1[1]                                           ; CLOCK_50                                                    ; CLOCK_50    ; 1.000        ; 0.000      ; 3.090      ;
; -2.052 ; ps2_read                                           ; ps2_ascii_reg1[3]                                           ; CLOCK_50                                                    ; CLOCK_50    ; 1.000        ; 0.000      ; 3.090      ;
; -2.052 ; ps2_read                                           ; ps2_ascii_reg1[0]                                           ; CLOCK_50                                                    ; CLOCK_50    ; 1.000        ; 0.000      ; 3.090      ;
; -2.052 ; ps2_read                                           ; ps2_ascii_reg1[7]                                           ; CLOCK_50                                                    ; CLOCK_50    ; 1.000        ; 0.000      ; 3.090      ;
; -1.988 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[7] ; ps2_read                                                    ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; 0.413      ; 3.439      ;
; -1.317 ; \random:rand_temp[13]                              ; \random:rand_temp[14]                                       ; CLOCK_50                                                    ; CLOCK_50    ; 1.000        ; 0.000      ; 2.355      ;
; -1.227 ; ps2_read                                           ; ps2_read                                                    ; CLOCK_50                                                    ; CLOCK_50    ; 1.000        ; 0.000      ; 2.265      ;
; -1.177 ; \random:rand_temp[12]                              ; \random:rand_temp[13]                                       ; CLOCK_50                                                    ; CLOCK_50    ; 1.000        ; 0.000      ; 2.215      ;
; -1.126 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|filter[7]    ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50                                                    ; CLOCK_50    ; 1.000        ; 0.000      ; 2.164      ;
; -1.050 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|filter[2]    ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50                                                    ; CLOCK_50    ; 1.000        ; 0.000      ; 2.088      ;
; -0.898 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_ready   ; ps2_ascii_reg1[4]                                           ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set             ; CLOCK_50    ; 1.000        ; 1.353      ; 3.289      ;
; -0.854 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|filter[5]    ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50                                                    ; CLOCK_50    ; 1.000        ; 0.000      ; 1.892      ;
; -0.807 ; clk_div:clkdiv_inst|count_10Mhz[0]                 ; clk_div:clkdiv_inst|clock_10Mhz_int                         ; CLOCK_50                                                    ; CLOCK_50    ; 1.000        ; 0.000      ; 1.845      ;
; -0.783 ; clk_div:clkdiv_inst|count_357Mhz[2]                ; clk_div:clkdiv_inst|count_357Mhz[3]                         ; CLOCK_50                                                    ; CLOCK_50    ; 1.000        ; 0.000      ; 1.821      ;
; -0.778 ; clk_div:clkdiv_inst|count_357Mhz[2]                ; clk_div:clkdiv_inst|clock_357Mhz_int                        ; CLOCK_50                                                    ; CLOCK_50    ; 1.000        ; 0.000      ; 1.816      ;
; -0.775 ; clk_div:clkdiv_inst|count_357Mhz[2]                ; clk_div:clkdiv_inst|count_357Mhz[0]                         ; CLOCK_50                                                    ; CLOCK_50    ; 1.000        ; 0.000      ; 1.813      ;
+--------+----------------------------------------------------+-------------------------------------------------------------+-------------------------------------------------------------+-------------+--------------+------------+------------+


+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Slow Model Setup: 'clk_div:clkdiv_inst|clock_100Hz'                                                                                                                                                                                ;
+--------+----------------------------------------------------+-----------------------------+-------------------------------------------------------------+---------------------------------+--------------+------------+------------+
; Slack  ; From Node                                          ; To Node                     ; Launch Clock                                                ; Latch Clock                     ; Relationship ; Clock Skew ; Data Delay ;
+--------+----------------------------------------------------+-----------------------------+-------------------------------------------------------------+---------------------------------+--------------+------------+------------+
; -2.525 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[3] ; ps2kbd:ps2_kbd_inst|caps[1] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; 0.138      ; 3.701      ;
; -2.525 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[3] ; ps2kbd:ps2_kbd_inst|caps[0] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; 0.138      ; 3.701      ;
; -2.421 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[4] ; ps2kbd:ps2_kbd_inst|caps[1] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; 0.138      ; 3.597      ;
; -2.421 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[4] ; ps2kbd:ps2_kbd_inst|caps[0] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; 0.138      ; 3.597      ;
; -2.184 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[7] ; ps2kbd:ps2_kbd_inst|caps[1] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; 0.147      ; 3.369      ;
; -2.184 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[7] ; ps2kbd:ps2_kbd_inst|caps[0] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; 0.147      ; 3.369      ;
; -1.911 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[5] ; ps2kbd:ps2_kbd_inst|caps[1] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; 0.138      ; 3.087      ;
; -1.911 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[5] ; ps2kbd:ps2_kbd_inst|caps[0] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; 0.138      ; 3.087      ;
; -1.633 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[1] ; ps2kbd:ps2_kbd_inst|caps[1] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; 0.139      ; 2.810      ;
; -1.633 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[1] ; ps2kbd:ps2_kbd_inst|caps[0] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; 0.139      ; 2.810      ;
; -1.233 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[0] ; ps2kbd:ps2_kbd_inst|caps[1] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; 0.139      ; 2.410      ;
; -1.233 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[0] ; ps2kbd:ps2_kbd_inst|caps[0] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; 0.139      ; 2.410      ;
; -1.132 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[6] ; ps2kbd:ps2_kbd_inst|caps[1] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; 0.139      ; 2.309      ;
; -1.132 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[6] ; ps2kbd:ps2_kbd_inst|caps[0] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; 0.139      ; 2.309      ;
; -0.957 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[2] ; ps2kbd:ps2_kbd_inst|caps[1] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; 0.139      ; 2.134      ;
; -0.957 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[2] ; ps2kbd:ps2_kbd_inst|caps[0] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; 0.139      ; 2.134      ;
; 0.121  ; ps2kbd:ps2_kbd_inst|caps[0]                        ; ps2kbd:ps2_kbd_inst|caps[1] ; clk_div:clkdiv_inst|clock_100Hz                             ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; 0.000      ; 0.917      ;
; 0.135  ; ps2kbd:ps2_kbd_inst|caps[1]                        ; ps2kbd:ps2_kbd_inst|caps[0] ; clk_div:clkdiv_inst|clock_100Hz                             ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; 0.000      ; 0.903      ;
+--------+----------------------------------------------------+-----------------------------+-------------------------------------------------------------+---------------------------------+--------------+------------+------------+


+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Slow Model Setup: 'ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered'                                                                                                                                                                                                     ;
+--------+--------------------------------------------------+----------------------------------------------------+-------------------------------------------------------------+-------------------------------------------------------------+--------------+------------+------------+
; Slack  ; From Node                                        ; To Node                                            ; Launch Clock                                                ; Latch Clock                                                 ; Relationship ; Clock Skew ; Data Delay ;
+--------+--------------------------------------------------+----------------------------------------------------+-------------------------------------------------------------+-------------------------------------------------------------+--------------+------------+------------+
; -2.466 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[6] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.017      ; 3.521      ;
; -2.466 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[0] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.017      ; 3.521      ;
; -2.466 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[1] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.017      ; 3.521      ;
; -2.466 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[2] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.017      ; 3.521      ;
; -2.454 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[7] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.009      ; 3.501      ;
; -2.241 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[6] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.017      ; 3.296      ;
; -2.241 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[0] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.017      ; 3.296      ;
; -2.241 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[1] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.017      ; 3.296      ;
; -2.241 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[2] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.017      ; 3.296      ;
; -2.229 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[7] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.009      ; 3.276      ;
; -2.159 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[5] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.018      ; 3.215      ;
; -2.159 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[3] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.018      ; 3.215      ;
; -2.159 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[4] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.018      ; 3.215      ;
; -2.097 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[8]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.001      ; 3.136      ;
; -2.097 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[7]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.001      ; 3.136      ;
; -2.097 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[6]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.001      ; 3.136      ;
; -2.097 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[5]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.001      ; 3.136      ;
; -2.097 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[4]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.001      ; 3.136      ;
; -2.097 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.001      ; 3.136      ;
; -2.097 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.001      ; 3.136      ;
; -2.097 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.001      ; 3.136      ;
; -2.097 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.001      ; 3.136      ;
; -2.047 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[6] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.017      ; 3.102      ;
; -2.047 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[0] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.017      ; 3.102      ;
; -2.047 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[1] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.017      ; 3.102      ;
; -2.047 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[2] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.017      ; 3.102      ;
; -2.035 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[7] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.009      ; 3.082      ;
; -1.945 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[6] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.017      ; 3.000      ;
; -1.945 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[0] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.017      ; 3.000      ;
; -1.945 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[1] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.017      ; 3.000      ;
; -1.945 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[2] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.017      ; 3.000      ;
; -1.934 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[5] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.018      ; 2.990      ;
; -1.934 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[3] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.018      ; 2.990      ;
; -1.934 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[4] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.018      ; 2.990      ;
; -1.933 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[7] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.009      ; 2.980      ;
; -1.910 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[6] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.017      ; 2.965      ;
; -1.910 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[0] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.017      ; 2.965      ;
; -1.910 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[1] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.017      ; 2.965      ;
; -1.910 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[2] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.017      ; 2.965      ;
; -1.898 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[7] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.009      ; 2.945      ;
; -1.872 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[8]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.001      ; 2.911      ;
; -1.872 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[7]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.001      ; 2.911      ;
; -1.872 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[6]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.001      ; 2.911      ;
; -1.872 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[5]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.001      ; 2.911      ;
; -1.872 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[4]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.001      ; 2.911      ;
; -1.872 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.001      ; 2.911      ;
; -1.872 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.001      ; 2.911      ;
; -1.872 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.001      ; 2.911      ;
; -1.872 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.001      ; 2.911      ;
; -1.740 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[5] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.018      ; 2.796      ;
; -1.740 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[3] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.018      ; 2.796      ;
; -1.740 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[4] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.018      ; 2.796      ;
; -1.678 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[8]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.001      ; 2.717      ;
; -1.678 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[7]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.001      ; 2.717      ;
; -1.678 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[6]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.001      ; 2.717      ;
; -1.678 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[5]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.001      ; 2.717      ;
; -1.678 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[4]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.001      ; 2.717      ;
; -1.678 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.001      ; 2.717      ;
; -1.678 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.001      ; 2.717      ;
; -1.678 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.001      ; 2.717      ;
; -1.678 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.001      ; 2.717      ;
; -1.638 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[5] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.018      ; 2.694      ;
; -1.638 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[3] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.018      ; 2.694      ;
; -1.638 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[4] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.018      ; 2.694      ;
; -1.603 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[5] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.018      ; 2.659      ;
; -1.603 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[3] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.018      ; 2.659      ;
; -1.603 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[4] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.018      ; 2.659      ;
; -1.541 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[8]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.001      ; 2.580      ;
; -1.541 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[7]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.001      ; 2.580      ;
; -1.541 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[6]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.001      ; 2.580      ;
; -1.541 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[5]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.001      ; 2.580      ;
; -1.541 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[4]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.001      ; 2.580      ;
; -1.541 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.001      ; 2.580      ;
; -1.541 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.001      ; 2.580      ;
; -1.541 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.001      ; 2.580      ;
; -1.541 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.001      ; 2.580      ;
; -1.472 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.000      ; 2.510      ;
; -1.398 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.000      ; 2.436      ;
; -1.398 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.000      ; 2.436      ;
; -1.398 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.000      ; 2.436      ;
; -1.398 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.000      ; 2.436      ;
; -1.343 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.000      ; 2.381      ;
; -1.341 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR    ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.000      ; 2.379      ;
; -1.340 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.000      ; 2.378      ;
; -1.334 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[8]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.001      ; 2.373      ;
; -1.334 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[7]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.001      ; 2.373      ;
; -1.334 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[6]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.001      ; 2.373      ;
; -1.334 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[5]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.001      ; 2.373      ;
; -1.334 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[4]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.001      ; 2.373      ;
; -1.334 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.001      ; 2.373      ;
; -1.334 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.001      ; 2.373      ;
; -1.334 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.001      ; 2.373      ;
; -1.334 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.001      ; 2.373      ;
; -1.243 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.000      ; 2.281      ;
; -1.118 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.000      ; 2.156      ;
; -1.116 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR    ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.000      ; 2.154      ;
; -1.115 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.000      ; 2.153      ;
; -1.111 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.000      ; 2.149      ;
; -1.102 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[6] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[6] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.016      ; 2.156      ;
; -1.078 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.000      ; 2.116      ;
+--------+--------------------------------------------------+----------------------------------------------------+-------------------------------------------------------------+-------------------------------------------------------------+--------------+------------+------------+


+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Slow Model Setup: 'clk_div:clkdiv_inst|clock_25Mhz_int'                                                                                                                                              ;
+--------+-----------------------------------+------------------------------------+-------------------------------------+-------------------------------------+--------------+------------+------------+
; Slack  ; From Node                         ; To Node                            ; Launch Clock                        ; Latch Clock                         ; Relationship ; Clock Skew ; Data Delay ;
+--------+-----------------------------------+------------------------------------+-------------------------------------+-------------------------------------+--------------+------------+------------+
; -0.955 ; clk_div:clkdiv_inst|count_1Mhz[3] ; clk_div:clkdiv_inst|count_1Mhz[0]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 1.000        ; 0.000      ; 1.993      ;
; -0.955 ; clk_div:clkdiv_inst|count_1Mhz[3] ; clk_div:clkdiv_inst|count_1Mhz[1]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 1.000        ; 0.000      ; 1.993      ;
; -0.955 ; clk_div:clkdiv_inst|count_1Mhz[3] ; clk_div:clkdiv_inst|count_1Mhz[2]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 1.000        ; 0.000      ; 1.993      ;
; -0.955 ; clk_div:clkdiv_inst|count_1Mhz[3] ; clk_div:clkdiv_inst|count_1Mhz[3]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 1.000        ; 0.000      ; 1.993      ;
; -0.955 ; clk_div:clkdiv_inst|count_1Mhz[3] ; clk_div:clkdiv_inst|count_1Mhz[4]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 1.000        ; 0.000      ; 1.993      ;
; -0.929 ; clk_div:clkdiv_inst|count_1Mhz[0] ; clk_div:clkdiv_inst|count_1Mhz[4]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 1.000        ; 0.000      ; 1.967      ;
; -0.849 ; clk_div:clkdiv_inst|count_1Mhz[0] ; clk_div:clkdiv_inst|count_1Mhz[3]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 1.000        ; 0.000      ; 1.887      ;
; -0.800 ; clk_div:clkdiv_inst|count_1Mhz[1] ; clk_div:clkdiv_inst|count_1Mhz[4]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 1.000        ; 0.000      ; 1.838      ;
; -0.772 ; clk_div:clkdiv_inst|count_1Mhz[2] ; clk_div:clkdiv_inst|count_1Mhz[4]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 1.000        ; 0.000      ; 1.810      ;
; -0.769 ; clk_div:clkdiv_inst|count_1Mhz[0] ; clk_div:clkdiv_inst|count_1Mhz[2]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 1.000        ; 0.000      ; 1.807      ;
; -0.720 ; clk_div:clkdiv_inst|count_1Mhz[1] ; clk_div:clkdiv_inst|count_1Mhz[3]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 1.000        ; 0.000      ; 1.758      ;
; -0.692 ; clk_div:clkdiv_inst|count_1Mhz[2] ; clk_div:clkdiv_inst|count_1Mhz[3]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 1.000        ; 0.000      ; 1.730      ;
; -0.689 ; clk_div:clkdiv_inst|count_1Mhz[0] ; clk_div:clkdiv_inst|count_1Mhz[1]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 1.000        ; 0.000      ; 1.727      ;
; -0.640 ; clk_div:clkdiv_inst|count_1Mhz[1] ; clk_div:clkdiv_inst|count_1Mhz[2]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 1.000        ; 0.000      ; 1.678      ;
; -0.638 ; clk_div:clkdiv_inst|count_1Mhz[4] ; clk_div:clkdiv_inst|count_1Mhz[0]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 1.000        ; 0.000      ; 1.676      ;
; -0.638 ; clk_div:clkdiv_inst|count_1Mhz[4] ; clk_div:clkdiv_inst|count_1Mhz[1]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 1.000        ; 0.000      ; 1.676      ;
; -0.638 ; clk_div:clkdiv_inst|count_1Mhz[4] ; clk_div:clkdiv_inst|count_1Mhz[2]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 1.000        ; 0.000      ; 1.676      ;
; -0.638 ; clk_div:clkdiv_inst|count_1Mhz[4] ; clk_div:clkdiv_inst|count_1Mhz[3]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 1.000        ; 0.000      ; 1.676      ;
; -0.638 ; clk_div:clkdiv_inst|count_1Mhz[4] ; clk_div:clkdiv_inst|count_1Mhz[4]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 1.000        ; 0.000      ; 1.676      ;
; -0.259 ; clk_div:clkdiv_inst|count_1Mhz[0] ; clk_div:clkdiv_inst|count_1Mhz[0]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 1.000        ; 0.000      ; 1.297      ;
; -0.259 ; clk_div:clkdiv_inst|count_1Mhz[2] ; clk_div:clkdiv_inst|count_1Mhz[2]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 1.000        ; 0.000      ; 1.297      ;
; -0.237 ; clk_div:clkdiv_inst|count_1Mhz[3] ; clk_div:clkdiv_inst|clock_1Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 1.000        ; 0.000      ; 1.275      ;
; -0.208 ; clk_div:clkdiv_inst|count_1Mhz[1] ; clk_div:clkdiv_inst|count_1Mhz[1]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 1.000        ; 0.000      ; 1.246      ;
; -0.022 ; clk_div:clkdiv_inst|count_1Mhz[2] ; clk_div:clkdiv_inst|clock_1Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 1.000        ; 0.000      ; 1.060      ;
; 0.110  ; clk_div:clkdiv_inst|count_1Mhz[4] ; clk_div:clkdiv_inst|clock_1Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 1.000        ; 0.000      ; 0.928      ;
+--------+-----------------------------------+------------------------------------+-------------------------------------+-------------------------------------+--------------+------------+------------+


+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Slow Model Setup: 'clk_div:clkdiv_inst|clock_1Khz_int'                                                                                                                                                ;
+--------+-------------------------------------+-------------------------------------+------------------------------------+------------------------------------+--------------+------------+------------+
; Slack  ; From Node                           ; To Node                             ; Launch Clock                       ; Latch Clock                        ; Relationship ; Clock Skew ; Data Delay ;
+--------+-------------------------------------+-------------------------------------+------------------------------------+------------------------------------+--------------+------------+------------+
; -0.435 ; clk_div:clkdiv_inst|count_100hz[1]  ; clk_div:clkdiv_inst|clock_100hz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; 1.000        ; 0.000      ; 1.473      ;
; -0.247 ; clk_div:clkdiv_inst|count_100hz[1]  ; clk_div:clkdiv_inst|count_100hz[2]  ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; 1.000        ; 0.000      ; 1.285      ;
; -0.242 ; clk_div:clkdiv_inst|count_100hz[0]  ; clk_div:clkdiv_inst|clock_100hz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; 1.000        ; 0.000      ; 1.280      ;
; -0.200 ; clk_div:clkdiv_inst|count_100hz[2]  ; clk_div:clkdiv_inst|count_100hz[0]  ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; 1.000        ; 0.000      ; 1.238      ;
; 0.101  ; clk_div:clkdiv_inst|count_100hz[1]  ; clk_div:clkdiv_inst|count_100hz[0]  ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; 1.000        ; 0.000      ; 0.937      ;
; 0.105  ; clk_div:clkdiv_inst|count_100hz[0]  ; clk_div:clkdiv_inst|count_100hz[1]  ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; 1.000        ; 0.000      ; 0.933      ;
; 0.106  ; clk_div:clkdiv_inst|count_100hz[0]  ; clk_div:clkdiv_inst|count_100hz[2]  ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; 1.000        ; 0.000      ; 0.932      ;
; 0.109  ; clk_div:clkdiv_inst|count_100hz[2]  ; clk_div:clkdiv_inst|clock_100hz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; 1.000        ; 0.000      ; 0.929      ;
; 0.307  ; clk_div:clkdiv_inst|clock_100hz_int ; clk_div:clkdiv_inst|clock_100hz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; 1.000        ; 0.000      ; 0.731      ;
; 0.307  ; clk_div:clkdiv_inst|count_100hz[2]  ; clk_div:clkdiv_inst|count_100hz[2]  ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; 1.000        ; 0.000      ; 0.731      ;
; 0.307  ; clk_div:clkdiv_inst|count_100hz[0]  ; clk_div:clkdiv_inst|count_100hz[0]  ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; 1.000        ; 0.000      ; 0.731      ;
; 0.307  ; clk_div:clkdiv_inst|count_100hz[1]  ; clk_div:clkdiv_inst|count_100hz[1]  ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; 1.000        ; 0.000      ; 0.731      ;
+--------+-------------------------------------+-------------------------------------+------------------------------------+------------------------------------+--------------+------------+------------+


+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Slow Model Setup: 'clk_div:clkdiv_inst|clock_1Mhz_int'                                                                                                                                                    ;
+--------+--------------------------------------+--------------------------------------+--------------------------------------+------------------------------------+--------------+------------+------------+
; Slack  ; From Node                            ; To Node                              ; Launch Clock                         ; Latch Clock                        ; Relationship ; Clock Skew ; Data Delay ;
+--------+--------------------------------------+--------------------------------------+--------------------------------------+------------------------------------+--------------+------------+------------+
; -0.425 ; clk_div:clkdiv_inst|count_100Khz[0]  ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_1Mhz_int   ; clk_div:clkdiv_inst|clock_1Mhz_int ; 1.000        ; 0.000      ; 1.463      ;
; -0.224 ; clk_div:clkdiv_inst|count_100Khz[1]  ; clk_div:clkdiv_inst|count_100Khz[2]  ; clk_div:clkdiv_inst|clock_1Mhz_int   ; clk_div:clkdiv_inst|clock_1Mhz_int ; 1.000        ; 0.000      ; 1.262      ;
; -0.224 ; clk_div:clkdiv_inst|count_100Khz[2]  ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_1Mhz_int   ; clk_div:clkdiv_inst|clock_1Mhz_int ; 1.000        ; 0.000      ; 1.262      ;
; -0.187 ; clk_div:clkdiv_inst|count_100Khz[2]  ; clk_div:clkdiv_inst|count_100Khz[0]  ; clk_div:clkdiv_inst|clock_1Mhz_int   ; clk_div:clkdiv_inst|clock_1Mhz_int ; 1.000        ; 0.000      ; 1.225      ;
; 0.113  ; clk_div:clkdiv_inst|count_100Khz[0]  ; clk_div:clkdiv_inst|count_100Khz[1]  ; clk_div:clkdiv_inst|clock_1Mhz_int   ; clk_div:clkdiv_inst|clock_1Mhz_int ; 1.000        ; 0.000      ; 0.925      ;
; 0.114  ; clk_div:clkdiv_inst|count_100Khz[0]  ; clk_div:clkdiv_inst|count_100Khz[2]  ; clk_div:clkdiv_inst|clock_1Mhz_int   ; clk_div:clkdiv_inst|clock_1Mhz_int ; 1.000        ; 0.000      ; 0.924      ;
; 0.122  ; clk_div:clkdiv_inst|count_100Khz[1]  ; clk_div:clkdiv_inst|count_100Khz[0]  ; clk_div:clkdiv_inst|clock_1Mhz_int   ; clk_div:clkdiv_inst|clock_1Mhz_int ; 1.000        ; 0.000      ; 0.916      ;
; 0.123  ; clk_div:clkdiv_inst|count_100Khz[1]  ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_1Mhz_int   ; clk_div:clkdiv_inst|clock_1Mhz_int ; 1.000        ; 0.000      ; 0.915      ;
; 0.307  ; clk_div:clkdiv_inst|count_100Khz[2]  ; clk_div:clkdiv_inst|count_100Khz[2]  ; clk_div:clkdiv_inst|clock_1Mhz_int   ; clk_div:clkdiv_inst|clock_1Mhz_int ; 1.000        ; 0.000      ; 0.731      ;
; 0.307  ; clk_div:clkdiv_inst|count_100Khz[0]  ; clk_div:clkdiv_inst|count_100Khz[0]  ; clk_div:clkdiv_inst|clock_1Mhz_int   ; clk_div:clkdiv_inst|clock_1Mhz_int ; 1.000        ; 0.000      ; 0.731      ;
; 0.307  ; clk_div:clkdiv_inst|count_100Khz[1]  ; clk_div:clkdiv_inst|count_100Khz[1]  ; clk_div:clkdiv_inst|clock_1Mhz_int   ; clk_div:clkdiv_inst|clock_1Mhz_int ; 1.000        ; 0.000      ; 0.731      ;
; 2.515  ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_1Mhz_int ; 0.500        ; 2.431      ; 0.731      ;
; 3.015  ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_1Mhz_int ; 1.000        ; 2.431      ; 0.731      ;
+--------+--------------------------------------+--------------------------------------+--------------------------------------+------------------------------------+--------------+------------+------------+


+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Slow Model Setup: 'clk_div:clkdiv_inst|clock_100Khz_int'                                                                                                                                                  ;
+--------+-------------------------------------+-------------------------------------+--------------------------------------+--------------------------------------+--------------+------------+------------+
; Slack  ; From Node                           ; To Node                             ; Launch Clock                         ; Latch Clock                          ; Relationship ; Clock Skew ; Data Delay ;
+--------+-------------------------------------+-------------------------------------+--------------------------------------+--------------------------------------+--------------+------------+------------+
; -0.267 ; clk_div:clkdiv_inst|count_10Khz[1]  ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; 1.000        ; 0.000      ; 1.305      ;
; -0.266 ; clk_div:clkdiv_inst|count_10Khz[1]  ; clk_div:clkdiv_inst|count_10Khz[2]  ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; 1.000        ; 0.000      ; 1.304      ;
; -0.227 ; clk_div:clkdiv_inst|count_10Khz[0]  ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; 1.000        ; 0.000      ; 1.265      ;
; -0.180 ; clk_div:clkdiv_inst|count_10Khz[2]  ; clk_div:clkdiv_inst|count_10Khz[0]  ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; 1.000        ; 0.000      ; 1.218      ;
; 0.121  ; clk_div:clkdiv_inst|count_10Khz[0]  ; clk_div:clkdiv_inst|count_10Khz[2]  ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; 1.000        ; 0.000      ; 0.917      ;
; 0.122  ; clk_div:clkdiv_inst|count_10Khz[0]  ; clk_div:clkdiv_inst|count_10Khz[1]  ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; 1.000        ; 0.000      ; 0.916      ;
; 0.123  ; clk_div:clkdiv_inst|count_10Khz[1]  ; clk_div:clkdiv_inst|count_10Khz[0]  ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; 1.000        ; 0.000      ; 0.915      ;
; 0.131  ; clk_div:clkdiv_inst|count_10Khz[2]  ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; 1.000        ; 0.000      ; 0.907      ;
; 0.307  ; clk_div:clkdiv_inst|count_10Khz[2]  ; clk_div:clkdiv_inst|count_10Khz[2]  ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; 1.000        ; 0.000      ; 0.731      ;
; 0.307  ; clk_div:clkdiv_inst|count_10Khz[0]  ; clk_div:clkdiv_inst|count_10Khz[0]  ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; 1.000        ; 0.000      ; 0.731      ;
; 0.307  ; clk_div:clkdiv_inst|count_10Khz[1]  ; clk_div:clkdiv_inst|count_10Khz[1]  ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; 1.000        ; 0.000      ; 0.731      ;
; 2.381  ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int  ; clk_div:clkdiv_inst|clock_100Khz_int ; 0.500        ; 2.297      ; 0.731      ;
; 2.881  ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int  ; clk_div:clkdiv_inst|clock_100Khz_int ; 1.000        ; 2.297      ; 0.731      ;
+--------+-------------------------------------+-------------------------------------+--------------------------------------+--------------------------------------+--------------+------------+------------+


+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Slow Model Setup: 'clk_div:clkdiv_inst|clock_10Khz_int'                                                                                                                                               ;
+--------+------------------------------------+------------------------------------+-------------------------------------+-------------------------------------+--------------+------------+------------+
; Slack  ; From Node                          ; To Node                            ; Launch Clock                        ; Latch Clock                         ; Relationship ; Clock Skew ; Data Delay ;
+--------+------------------------------------+------------------------------------+-------------------------------------+-------------------------------------+--------------+------------+------------+
; -0.267 ; clk_div:clkdiv_inst|count_1Khz[0]  ; clk_div:clkdiv_inst|count_1Khz[2]  ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; 1.000        ; 0.000      ; 1.305      ;
; -0.267 ; clk_div:clkdiv_inst|count_1Khz[0]  ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; 1.000        ; 0.000      ; 1.305      ;
; -0.227 ; clk_div:clkdiv_inst|count_1Khz[1]  ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; 1.000        ; 0.000      ; 1.265      ;
; -0.179 ; clk_div:clkdiv_inst|count_1Khz[2]  ; clk_div:clkdiv_inst|count_1Khz[0]  ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; 1.000        ; 0.000      ; 1.217      ;
; 0.120  ; clk_div:clkdiv_inst|count_1Khz[1]  ; clk_div:clkdiv_inst|count_1Khz[2]  ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; 1.000        ; 0.000      ; 0.918      ;
; 0.122  ; clk_div:clkdiv_inst|count_1Khz[1]  ; clk_div:clkdiv_inst|count_1Khz[0]  ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; 1.000        ; 0.000      ; 0.916      ;
; 0.123  ; clk_div:clkdiv_inst|count_1Khz[0]  ; clk_div:clkdiv_inst|count_1Khz[1]  ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; 1.000        ; 0.000      ; 0.915      ;
; 0.132  ; clk_div:clkdiv_inst|count_1Khz[2]  ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; 1.000        ; 0.000      ; 0.906      ;
; 0.307  ; clk_div:clkdiv_inst|count_1Khz[2]  ; clk_div:clkdiv_inst|count_1Khz[2]  ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; 1.000        ; 0.000      ; 0.731      ;
; 0.307  ; clk_div:clkdiv_inst|count_1Khz[0]  ; clk_div:clkdiv_inst|count_1Khz[0]  ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; 1.000        ; 0.000      ; 0.731      ;
; 0.307  ; clk_div:clkdiv_inst|count_1Khz[1]  ; clk_div:clkdiv_inst|count_1Khz[1]  ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; 1.000        ; 0.000      ; 0.731      ;
; 2.554  ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int  ; clk_div:clkdiv_inst|clock_10Khz_int ; 0.500        ; 2.470      ; 0.731      ;
; 3.054  ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int  ; clk_div:clkdiv_inst|clock_10Khz_int ; 1.000        ; 2.470      ; 0.731      ;
+--------+------------------------------------+------------------------------------+-------------------------------------+-------------------------------------+--------------+------------+------------+


+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Slow Model Hold: 'CLOCK_50'                                                                                                                                                                                                                             ;
+--------+-------------------------------------------------------------+-------------------------------------------------------------+-------------------------------------------------------------+-------------+--------------+------------+------------+
; Slack  ; From Node                                                   ; To Node                                                     ; Launch Clock                                                ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ;
+--------+-------------------------------------------------------------+-------------------------------------------------------------+-------------------------------------------------------------+-------------+--------------+------------+------------+
; -2.703 ; clk_div:clkdiv_inst|clock_25Mhz_int                         ; clk_div:clkdiv_inst|clock_25Mhz_int                         ; clk_div:clkdiv_inst|clock_25Mhz_int                         ; CLOCK_50    ; 0.000        ; 2.871      ; 0.731      ;
; -2.695 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 0.000        ; 2.863      ; 0.731      ;
; -2.380 ; clk_div:clkdiv_inst|clock_25Mhz_int                         ; clk_div:clkdiv_inst|clock_25MHz                             ; clk_div:clkdiv_inst|clock_25Mhz_int                         ; CLOCK_50    ; 0.000        ; 2.871      ; 1.054      ;
; -2.203 ; clk_div:clkdiv_inst|clock_25Mhz_int                         ; clk_div:clkdiv_inst|clock_25Mhz_int                         ; clk_div:clkdiv_inst|clock_25Mhz_int                         ; CLOCK_50    ; -0.500       ; 2.871      ; 0.731      ;
; -2.195 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; -0.500       ; 2.863      ; 0.731      ;
; -1.880 ; clk_div:clkdiv_inst|clock_25Mhz_int                         ; clk_div:clkdiv_inst|clock_25MHz                             ; clk_div:clkdiv_inst|clock_25Mhz_int                         ; CLOCK_50    ; -0.500       ; 2.871      ; 1.054      ;
; -0.089 ; clk_div:clkdiv_inst|clock_357Mhz_int                        ; clk_div:clkdiv_inst|clock_357Mhz                            ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.721      ; 0.918      ;
; 0.038  ; clk_div:clkdiv_inst|clock_10Mhz_int                         ; clk_div:clkdiv_inst|clock_10MHz                             ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.721      ; 1.045      ;
; 0.359  ; clk_div:clkdiv_inst|clock_100hz_int                         ; clk_div:clkdiv_inst|clock_100Hz                             ; clk_div:clkdiv_inst|clock_1Khz_int                          ; CLOCK_50    ; 0.000        ; 0.401      ; 1.046      ;
; 0.445  ; clk_div:clkdiv_inst|count_357Mhz[2]                         ; clk_div:clkdiv_inst|count_357Mhz[2]                         ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.000      ; 0.731      ;
; 0.445  ; clk_div:clkdiv_inst|count_357Mhz[0]                         ; clk_div:clkdiv_inst|count_357Mhz[0]                         ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.000      ; 0.731      ;
; 0.445  ; clk_div:clkdiv_inst|count_357Mhz[1]                         ; clk_div:clkdiv_inst|count_357Mhz[1]                         ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.000      ; 0.731      ;
; 0.445  ; clk_div:clkdiv_inst|count_357Mhz[3]                         ; clk_div:clkdiv_inst|count_357Mhz[3]                         ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.000      ; 0.731      ;
; 0.445  ; clk_div:clkdiv_inst|clock_357Mhz_int                        ; clk_div:clkdiv_inst|clock_357Mhz_int                        ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.000      ; 0.731      ;
; 0.445  ; clk_div:clkdiv_inst|count_10Mhz[0]                          ; clk_div:clkdiv_inst|count_10Mhz[0]                          ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.000      ; 0.731      ;
; 0.445  ; clk_div:clkdiv_inst|count_10Mhz[1]                          ; clk_div:clkdiv_inst|count_10Mhz[1]                          ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.000      ; 0.731      ;
; 0.445  ; clk_div:clkdiv_inst|count_10Mhz[2]                          ; clk_div:clkdiv_inst|count_10Mhz[2]                          ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.000      ; 0.731      ;
; 0.445  ; clk_div:clkdiv_inst|clock_10Mhz_int                         ; clk_div:clkdiv_inst|clock_10Mhz_int                         ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.000      ; 0.731      ;
; 0.628  ; \random:rand_temp[4]                                        ; \random:rand_temp[5]                                        ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.000      ; 0.914      ;
; 0.628  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|filter[4]             ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|filter[3]             ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.000      ; 0.914      ;
; 0.632  ; \random:rand_temp[2]                                        ; \random:rand_temp[3]                                        ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.000      ; 0.918      ;
; 0.633  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|filter[3]             ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|filter[2]             ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.000      ; 0.919      ;
; 0.634  ; \random:rand_temp[15]                                       ; \random:rand_temp[0]                                        ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.000      ; 0.920      ;
; 0.635  ; \random:rand_temp[5]                                        ; \random:rand_temp[6]                                        ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.000      ; 0.921      ;
; 0.635  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|filter[6]             ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|filter[5]             ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.000      ; 0.921      ;
; 0.636  ; \random:rand_temp[14]                                       ; \random:rand_temp[15]                                       ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.000      ; 0.922      ;
; 0.637  ; clk_div:clkdiv_inst|count_357Mhz[0]                         ; clk_div:clkdiv_inst|count_357Mhz[1]                         ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.000      ; 0.923      ;
; 0.637  ; clk_div:clkdiv_inst|count_357Mhz[3]                         ; clk_div:clkdiv_inst|clock_357Mhz_int                        ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.000      ; 0.923      ;
; 0.638  ; clk_div:clkdiv_inst|count_357Mhz[3]                         ; clk_div:clkdiv_inst|count_357Mhz[0]                         ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.000      ; 0.924      ;
; 0.647  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_ready            ; ps2_read                                                    ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set             ; CLOCK_50    ; 0.000        ; 1.353      ; 2.286      ;
; 0.766  ; \random:rand_temp[3]                                        ; \random:rand_temp[4]                                        ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.000      ; 1.052      ;
; 0.776  ; \random:rand_temp[9]                                        ; \random:rand_temp[10]                                       ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.000      ; 1.062      ;
; 0.778  ; \random:rand_temp[10]                                       ; \random:rand_temp[11]                                       ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.000      ; 1.064      ;
; 0.783  ; \random:rand_temp[7]                                        ; \random:rand_temp[8]                                        ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.000      ; 1.069      ;
; 0.806  ; \random:rand_temp[6]                                        ; \random:rand_temp[7]                                        ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.000      ; 1.092      ;
; 0.821  ; clk_div:clkdiv_inst|count_10Mhz[2]                          ; clk_div:clkdiv_inst|clock_10Mhz_int                         ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.000      ; 1.107      ;
; 0.849  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|filter[5]             ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|filter[4]             ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.000      ; 1.135      ;
; 0.852  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|filter[7]             ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|filter[6]             ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.000      ; 1.138      ;
; 0.858  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|filter[2]             ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|filter[1]             ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.000      ; 1.144      ;
; 0.876  ; clk_div:clkdiv_inst|count_357Mhz[1]                         ; clk_div:clkdiv_inst|count_357Mhz[2]                         ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.000      ; 1.162      ;
; 0.878  ; clk_div:clkdiv_inst|count_357Mhz[1]                         ; clk_div:clkdiv_inst|count_357Mhz[3]                         ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.000      ; 1.164      ;
; 0.918  ; ps2_read                                                    ; ps2_ascii_reg1[4]                                           ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.000      ; 1.204      ;
; 0.928  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|filter[0]             ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.000      ; 1.214      ;
; 0.944  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|filter[1]             ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|filter[0]             ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.000      ; 1.230      ;
; 0.954  ; \random:rand_temp[14]                                       ; \random:rand_temp[0]                                        ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.000      ; 1.240      ;
; 0.956  ; \random:rand_temp[11]                                       ; \random:rand_temp[12]                                       ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.000      ; 1.242      ;
; 0.967  ; clk_div:clkdiv_inst|count_10Mhz[1]                          ; clk_div:clkdiv_inst|clock_10Mhz_int                         ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.000      ; 1.253      ;
; 0.970  ; clk_div:clkdiv_inst|count_10Mhz[1]                          ; clk_div:clkdiv_inst|count_10Mhz[2]                          ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.000      ; 1.256      ;
; 0.984  ; clk_div:clkdiv_inst|count_357Mhz[0]                         ; clk_div:clkdiv_inst|count_357Mhz[2]                         ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.000      ; 1.270      ;
; 0.986  ; \random:rand_temp[8]                                        ; \random:rand_temp[9]                                        ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.000      ; 1.272      ;
; 0.986  ; clk_div:clkdiv_inst|count_357Mhz[0]                         ; clk_div:clkdiv_inst|count_357Mhz[3]                         ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.000      ; 1.272      ;
; 0.990  ; clk_div:clkdiv_inst|count_357Mhz[2]                         ; clk_div:clkdiv_inst|count_357Mhz[1]                         ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.000      ; 1.276      ;
; 1.028  ; clk_div:clkdiv_inst|count_357Mhz[3]                         ; clk_div:clkdiv_inst|count_357Mhz[2]                         ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.000      ; 1.314      ;
; 1.028  ; clk_div:clkdiv_inst|count_357Mhz[3]                         ; clk_div:clkdiv_inst|count_357Mhz[1]                         ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.000      ; 1.314      ;
; 1.089  ; clk_div:clkdiv_inst|count_10Mhz[0]                          ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|filter[7]             ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.000      ; 1.375      ;
; 1.089  ; clk_div:clkdiv_inst|count_10Mhz[0]                          ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|filter[6]             ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.000      ; 1.375      ;
; 1.089  ; clk_div:clkdiv_inst|count_10Mhz[0]                          ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|filter[5]             ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.000      ; 1.375      ;
; 1.089  ; clk_div:clkdiv_inst|count_10Mhz[0]                          ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|filter[4]             ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.000      ; 1.375      ;
; 1.089  ; clk_div:clkdiv_inst|count_10Mhz[0]                          ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|filter[3]             ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.000      ; 1.375      ;
; 1.089  ; clk_div:clkdiv_inst|count_10Mhz[0]                          ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|filter[2]             ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.000      ; 1.375      ;
; 1.089  ; clk_div:clkdiv_inst|count_10Mhz[0]                          ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|filter[1]             ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.000      ; 1.375      ;
; 1.089  ; clk_div:clkdiv_inst|count_10Mhz[0]                          ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|filter[0]             ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.000      ; 1.375      ;
; 1.089  ; clk_div:clkdiv_inst|count_10Mhz[0]                          ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.000      ; 1.375      ;
; 1.105  ; ps2_read                                                    ; ps2_ascii_reg1[6]                                           ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.000      ; 1.391      ;
; 1.106  ; ps2_read                                                    ; ps2_ascii_reg1[2]                                           ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.000      ; 1.392      ;
; 1.107  ; ps2_read                                                    ; ps2_ascii_reg1[0]                                           ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.000      ; 1.393      ;
; 1.108  ; ps2_read                                                    ; ps2_ascii_reg1[5]                                           ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.000      ; 1.394      ;
; 1.108  ; ps2_read                                                    ; ps2_ascii_reg1[7]                                           ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.000      ; 1.394      ;
; 1.117  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|filter[6]             ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.000      ; 1.403      ;
; 1.134  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[7]          ; ps2_ascii_reg1[5]                                           ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 0.000        ; 0.413      ; 1.833      ;
; 1.135  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[7]          ; ps2_ascii_reg1[3]                                           ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 0.000        ; 0.413      ; 1.834      ;
; 1.136  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[7]          ; ps2_ascii_reg1[1]                                           ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 0.000        ; 0.413      ; 1.835      ;
; 1.139  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[7]          ; ps2_ascii_reg1[2]                                           ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 0.000        ; 0.413      ; 1.838      ;
; 1.139  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[7]          ; ps2_ascii_reg1[0]                                           ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 0.000        ; 0.413      ; 1.838      ;
; 1.139  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[7]          ; ps2_ascii_reg1[7]                                           ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 0.000        ; 0.413      ; 1.838      ;
; 1.140  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[7]          ; ps2_ascii_reg1[6]                                           ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 0.000        ; 0.413      ; 1.839      ;
; 1.141  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[7]          ; ps2_ascii_reg1[4]                                           ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 0.000        ; 0.413      ; 1.840      ;
; 1.151  ; \random:rand_temp[0]                                        ; \random:rand_temp[1]                                        ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.000      ; 1.437      ;
; 1.167  ; clk_div:clkdiv_inst|count_10Mhz[0]                          ; clk_div:clkdiv_inst|count_10Mhz[2]                          ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.000      ; 1.453      ;
; 1.171  ; clk_div:clkdiv_inst|count_10Mhz[0]                          ; clk_div:clkdiv_inst|count_10Mhz[1]                          ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.000      ; 1.457      ;
; 1.210  ; clk_div:clkdiv_inst|count_10Mhz[2]                          ; clk_div:clkdiv_inst|count_10Mhz[1]                          ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.000      ; 1.496      ;
; 1.222  ; clk_div:clkdiv_inst|count_357Mhz[1]                         ; clk_div:clkdiv_inst|count_357Mhz[0]                         ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.000      ; 1.508      ;
; 1.222  ; clk_div:clkdiv_inst|count_357Mhz[1]                         ; clk_div:clkdiv_inst|clock_357Mhz_int                        ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.000      ; 1.508      ;
; 1.257  ; ps2_read                                                    ; ps2_ascii_reg1[3]                                           ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.000      ; 1.543      ;
; 1.258  ; \random:rand_temp[1]                                        ; \random:rand_temp[2]                                        ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.000      ; 1.544      ;
; 1.258  ; ps2_read                                                    ; ps2_ascii_reg1[1]                                           ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.000      ; 1.544      ;
; 1.323  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[6]          ; ps2_ascii_reg1[2]                                           ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 0.000        ; 0.405      ; 2.014      ;
; 1.368  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[7]          ; ps2_read                                                    ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 0.000        ; 0.413      ; 2.067      ;
; 1.452  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|filter[1]             ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.000      ; 1.738      ;
; 1.456  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|filter[3]             ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.000      ; 1.742      ;
; 1.472  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_ready            ; ps2_ascii_reg1[2]                                           ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set             ; CLOCK_50    ; 0.000        ; 1.353      ; 3.111      ;
; 1.472  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_ready            ; ps2_ascii_reg1[6]                                           ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set             ; CLOCK_50    ; 0.000        ; 1.353      ; 3.111      ;
; 1.472  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_ready            ; ps2_ascii_reg1[5]                                           ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set             ; CLOCK_50    ; 0.000        ; 1.353      ; 3.111      ;
; 1.472  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_ready            ; ps2_ascii_reg1[1]                                           ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set             ; CLOCK_50    ; 0.000        ; 1.353      ; 3.111      ;
; 1.472  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_ready            ; ps2_ascii_reg1[3]                                           ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set             ; CLOCK_50    ; 0.000        ; 1.353      ; 3.111      ;
; 1.472  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_ready            ; ps2_ascii_reg1[0]                                           ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set             ; CLOCK_50    ; 0.000        ; 1.353      ; 3.111      ;
; 1.472  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_ready            ; ps2_ascii_reg1[7]                                           ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set             ; CLOCK_50    ; 0.000        ; 1.353      ; 3.111      ;
; 1.486  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|filter[4]             ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.000      ; 1.772      ;
; 1.527  ; clk_div:clkdiv_inst|count_357Mhz[2]                         ; clk_div:clkdiv_inst|count_357Mhz[0]                         ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.000      ; 1.813      ;
; 1.530  ; clk_div:clkdiv_inst|count_357Mhz[2]                         ; clk_div:clkdiv_inst|clock_357Mhz_int                        ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.000      ; 1.816      ;
+--------+-------------------------------------------------------------+-------------------------------------------------------------+-------------------------------------------------------------+-------------+--------------+------------+------------+


+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Slow Model Hold: 'clk_div:clkdiv_inst|clock_10Khz_int'                                                                                                                                                ;
+--------+------------------------------------+------------------------------------+-------------------------------------+-------------------------------------+--------------+------------+------------+
; Slack  ; From Node                          ; To Node                            ; Launch Clock                        ; Latch Clock                         ; Relationship ; Clock Skew ; Data Delay ;
+--------+------------------------------------+------------------------------------+-------------------------------------+-------------------------------------+--------------+------------+------------+
; -2.302 ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int  ; clk_div:clkdiv_inst|clock_10Khz_int ; 0.000        ; 2.470      ; 0.731      ;
; -1.802 ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int  ; clk_div:clkdiv_inst|clock_10Khz_int ; -0.500       ; 2.470      ; 0.731      ;
; 0.445  ; clk_div:clkdiv_inst|count_1Khz[0]  ; clk_div:clkdiv_inst|count_1Khz[0]  ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; 0.000        ; 0.000      ; 0.731      ;
; 0.445  ; clk_div:clkdiv_inst|count_1Khz[1]  ; clk_div:clkdiv_inst|count_1Khz[1]  ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; 0.000        ; 0.000      ; 0.731      ;
; 0.445  ; clk_div:clkdiv_inst|count_1Khz[2]  ; clk_div:clkdiv_inst|count_1Khz[2]  ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; 0.000        ; 0.000      ; 0.731      ;
; 0.620  ; clk_div:clkdiv_inst|count_1Khz[2]  ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; 0.000        ; 0.000      ; 0.906      ;
; 0.629  ; clk_div:clkdiv_inst|count_1Khz[0]  ; clk_div:clkdiv_inst|count_1Khz[1]  ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; 0.000        ; 0.000      ; 0.915      ;
; 0.630  ; clk_div:clkdiv_inst|count_1Khz[1]  ; clk_div:clkdiv_inst|count_1Khz[0]  ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; 0.000        ; 0.000      ; 0.916      ;
; 0.632  ; clk_div:clkdiv_inst|count_1Khz[1]  ; clk_div:clkdiv_inst|count_1Khz[2]  ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; 0.000        ; 0.000      ; 0.918      ;
; 0.931  ; clk_div:clkdiv_inst|count_1Khz[2]  ; clk_div:clkdiv_inst|count_1Khz[0]  ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; 0.000        ; 0.000      ; 1.217      ;
; 0.979  ; clk_div:clkdiv_inst|count_1Khz[1]  ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; 0.000        ; 0.000      ; 1.265      ;
; 1.019  ; clk_div:clkdiv_inst|count_1Khz[0]  ; clk_div:clkdiv_inst|count_1Khz[2]  ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; 0.000        ; 0.000      ; 1.305      ;
; 1.019  ; clk_div:clkdiv_inst|count_1Khz[0]  ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; 0.000        ; 0.000      ; 1.305      ;
+--------+------------------------------------+------------------------------------+-------------------------------------+-------------------------------------+--------------+------------+------------+


+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Slow Model Hold: 'clk_div:clkdiv_inst|clock_1Mhz_int'                                                                                                                                                     ;
+--------+--------------------------------------+--------------------------------------+--------------------------------------+------------------------------------+--------------+------------+------------+
; Slack  ; From Node                            ; To Node                              ; Launch Clock                         ; Latch Clock                        ; Relationship ; Clock Skew ; Data Delay ;
+--------+--------------------------------------+--------------------------------------+--------------------------------------+------------------------------------+--------------+------------+------------+
; -2.263 ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_1Mhz_int ; 0.000        ; 2.431      ; 0.731      ;
; -1.763 ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_1Mhz_int ; -0.500       ; 2.431      ; 0.731      ;
; 0.445  ; clk_div:clkdiv_inst|count_100Khz[2]  ; clk_div:clkdiv_inst|count_100Khz[2]  ; clk_div:clkdiv_inst|clock_1Mhz_int   ; clk_div:clkdiv_inst|clock_1Mhz_int ; 0.000        ; 0.000      ; 0.731      ;
; 0.445  ; clk_div:clkdiv_inst|count_100Khz[0]  ; clk_div:clkdiv_inst|count_100Khz[0]  ; clk_div:clkdiv_inst|clock_1Mhz_int   ; clk_div:clkdiv_inst|clock_1Mhz_int ; 0.000        ; 0.000      ; 0.731      ;
; 0.445  ; clk_div:clkdiv_inst|count_100Khz[1]  ; clk_div:clkdiv_inst|count_100Khz[1]  ; clk_div:clkdiv_inst|clock_1Mhz_int   ; clk_div:clkdiv_inst|clock_1Mhz_int ; 0.000        ; 0.000      ; 0.731      ;
; 0.629  ; clk_div:clkdiv_inst|count_100Khz[1]  ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_1Mhz_int   ; clk_div:clkdiv_inst|clock_1Mhz_int ; 0.000        ; 0.000      ; 0.915      ;
; 0.630  ; clk_div:clkdiv_inst|count_100Khz[1]  ; clk_div:clkdiv_inst|count_100Khz[0]  ; clk_div:clkdiv_inst|clock_1Mhz_int   ; clk_div:clkdiv_inst|clock_1Mhz_int ; 0.000        ; 0.000      ; 0.916      ;
; 0.638  ; clk_div:clkdiv_inst|count_100Khz[0]  ; clk_div:clkdiv_inst|count_100Khz[2]  ; clk_div:clkdiv_inst|clock_1Mhz_int   ; clk_div:clkdiv_inst|clock_1Mhz_int ; 0.000        ; 0.000      ; 0.924      ;
; 0.639  ; clk_div:clkdiv_inst|count_100Khz[0]  ; clk_div:clkdiv_inst|count_100Khz[1]  ; clk_div:clkdiv_inst|clock_1Mhz_int   ; clk_div:clkdiv_inst|clock_1Mhz_int ; 0.000        ; 0.000      ; 0.925      ;
; 0.939  ; clk_div:clkdiv_inst|count_100Khz[2]  ; clk_div:clkdiv_inst|count_100Khz[0]  ; clk_div:clkdiv_inst|clock_1Mhz_int   ; clk_div:clkdiv_inst|clock_1Mhz_int ; 0.000        ; 0.000      ; 1.225      ;
; 0.976  ; clk_div:clkdiv_inst|count_100Khz[1]  ; clk_div:clkdiv_inst|count_100Khz[2]  ; clk_div:clkdiv_inst|clock_1Mhz_int   ; clk_div:clkdiv_inst|clock_1Mhz_int ; 0.000        ; 0.000      ; 1.262      ;
; 0.976  ; clk_div:clkdiv_inst|count_100Khz[2]  ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_1Mhz_int   ; clk_div:clkdiv_inst|clock_1Mhz_int ; 0.000        ; 0.000      ; 1.262      ;
; 1.177  ; clk_div:clkdiv_inst|count_100Khz[0]  ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_1Mhz_int   ; clk_div:clkdiv_inst|clock_1Mhz_int ; 0.000        ; 0.000      ; 1.463      ;
+--------+--------------------------------------+--------------------------------------+--------------------------------------+------------------------------------+--------------+------------+------------+


+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Slow Model Hold: 'ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered'                                                                                                                                                                                                      ;
+--------+--------------------------------------------------+----------------------------------------------------+-------------------------------------------------------------+-------------------------------------------------------------+--------------+------------+------------+
; Slack  ; From Node                                        ; To Node                                            ; Launch Clock                                                ; Latch Clock                                                 ; Relationship ; Clock Skew ; Data Delay ;
+--------+--------------------------------------------------+----------------------------------------------------+-------------------------------------------------------------+-------------------------------------------------------------+--------------+------------+------------+
; -2.255 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set    ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set             ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 2.423      ; 0.731      ;
; -1.755 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set    ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set             ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; -0.500       ; 2.423      ; 0.731      ;
; 0.445  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR    ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.000      ; 0.731      ;
; 0.445  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.000      ; 0.731      ;
; 0.445  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.000      ; 0.731      ;
; 0.624  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[7] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[6]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.000      ; 0.910      ;
; 0.628  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[2] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.000      ; 0.914      ;
; 0.629  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[1] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.000      ; 0.915      ;
; 0.630  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[5] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[4]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.000      ; 0.916      ;
; 0.630  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[4] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.000      ; 0.916      ;
; 0.632  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[3] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.000      ; 0.918      ;
; 0.766  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[8] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[7]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.000      ; 1.052      ;
; 0.774  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[6] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[5]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.000      ; 1.060      ;
; 1.110  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[4] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[4] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.017      ; 1.413      ;
; 1.189  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set    ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.000      ; 1.475      ;
; 1.191  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.000      ; 1.477      ;
; 1.226  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set    ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.000      ; 1.512      ;
; 1.256  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[3] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[3] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.017      ; 1.559      ;
; 1.258  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[5] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[5] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.017      ; 1.561      ;
; 1.262  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[7] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[7] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.008      ; 1.556      ;
; 1.268  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[0] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[0] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.016      ; 1.570      ;
; 1.275  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[1] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[1] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.016      ; 1.577      ;
; 1.300  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[2] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[2] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.016      ; 1.602      ;
; 1.326  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set    ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.000      ; 1.612      ;
; 1.328  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.000      ; 1.614      ;
; 1.414  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.000      ; 1.700      ;
; 1.499  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.000      ; 1.785      ;
; 1.520  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set    ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.000      ; 1.806      ;
; 1.537  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR    ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.000      ; 1.823      ;
; 1.539  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.000      ; 1.825      ;
; 1.602  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.000      ; 1.888      ;
; 1.636  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.000      ; 1.922      ;
; 1.673  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.000      ; 1.959      ;
; 1.674  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR    ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.000      ; 1.960      ;
; 1.676  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.000      ; 1.962      ;
; 1.745  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set    ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.000      ; 2.031      ;
; 1.747  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.000      ; 2.033      ;
; 1.801  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.000      ; 2.087      ;
; 1.854  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[6] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[6] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.016      ; 2.156      ;
; 1.868  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR    ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.000      ; 2.154      ;
; 2.055  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.000      ; 2.341      ;
; 2.086  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[8]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.001      ; 2.373      ;
; 2.086  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[7]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.001      ; 2.373      ;
; 2.086  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[6]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.001      ; 2.373      ;
; 2.086  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[5]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.001      ; 2.373      ;
; 2.086  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[4]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.001      ; 2.373      ;
; 2.086  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.001      ; 2.373      ;
; 2.086  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.001      ; 2.373      ;
; 2.086  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.001      ; 2.373      ;
; 2.086  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.001      ; 2.373      ;
; 2.092  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.000      ; 2.378      ;
; 2.093  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR    ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.000      ; 2.379      ;
; 2.095  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.000      ; 2.381      ;
; 2.150  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.000      ; 2.436      ;
; 2.150  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.000      ; 2.436      ;
; 2.150  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.000      ; 2.436      ;
; 2.150  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.000      ; 2.436      ;
; 2.293  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[8]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.001      ; 2.580      ;
; 2.293  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[7]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.001      ; 2.580      ;
; 2.293  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[6]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.001      ; 2.580      ;
; 2.293  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[5]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.001      ; 2.580      ;
; 2.293  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[4]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.001      ; 2.580      ;
; 2.293  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.001      ; 2.580      ;
; 2.293  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.001      ; 2.580      ;
; 2.293  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.001      ; 2.580      ;
; 2.293  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.001      ; 2.580      ;
; 2.355  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[5] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.018      ; 2.659      ;
; 2.355  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[3] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.018      ; 2.659      ;
; 2.355  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[4] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.018      ; 2.659      ;
; 2.390  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[5] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.018      ; 2.694      ;
; 2.390  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[3] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.018      ; 2.694      ;
; 2.390  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[4] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.018      ; 2.694      ;
; 2.430  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[8]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.001      ; 2.717      ;
; 2.430  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[7]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.001      ; 2.717      ;
; 2.430  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[6]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.001      ; 2.717      ;
; 2.430  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[5]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.001      ; 2.717      ;
; 2.430  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[4]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.001      ; 2.717      ;
; 2.430  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.001      ; 2.717      ;
; 2.430  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.001      ; 2.717      ;
; 2.430  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.001      ; 2.717      ;
; 2.430  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.001      ; 2.717      ;
; 2.492  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[5] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.018      ; 2.796      ;
; 2.492  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[3] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.018      ; 2.796      ;
; 2.492  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[4] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.018      ; 2.796      ;
; 2.624  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[8]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.001      ; 2.911      ;
; 2.624  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[7]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.001      ; 2.911      ;
; 2.624  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[6]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.001      ; 2.911      ;
; 2.624  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[5]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.001      ; 2.911      ;
; 2.624  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[4]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.001      ; 2.911      ;
; 2.624  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.001      ; 2.911      ;
; 2.624  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.001      ; 2.911      ;
; 2.624  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.001      ; 2.911      ;
; 2.624  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.001      ; 2.911      ;
; 2.650  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[7] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.009      ; 2.945      ;
; 2.662  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[6] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.017      ; 2.965      ;
; 2.662  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[0] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.017      ; 2.965      ;
; 2.662  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[1] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.017      ; 2.965      ;
; 2.662  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[2] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.017      ; 2.965      ;
; 2.685  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[7] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.009      ; 2.980      ;
; 2.686  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[5] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.018      ; 2.990      ;
+--------+--------------------------------------------------+----------------------------------------------------+-------------------------------------------------------------+-------------------------------------------------------------+--------------+------------+------------+


+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Slow Model Hold: 'SW[8]'                                                                                                                                                                                                                                                                                                                ;
+--------+-------------------------------------------------------------------------------------------+-------------------------------------------------------------------------------------------------------------------------------------------+---------------------------------+-------------+--------------+------------+------------+
; Slack  ; From Node                                                                                 ; To Node                                                                                                                                   ; Launch Clock                    ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ;
+--------+-------------------------------------------------------------------------------------------+-------------------------------------------------------------------------------------------------------------------------------------------+---------------------------------+-------------+--------------+------------+------------+
; -2.162 ; \random:rand_temp[13]                                                                     ; T80se:z80_inst|DI_Reg[5]                                                                                                                  ; CLOCK_50                        ; SW[8]       ; 0.000        ; 3.082      ; 1.206      ;
; -2.010 ; \random:rand_temp[12]                                                                     ; T80se:z80_inst|DI_Reg[4]                                                                                                                  ; CLOCK_50                        ; SW[8]       ; 0.000        ; 3.082      ; 1.358      ;
; -1.890 ; \random:rand_temp[6]                                                                      ; T80se:z80_inst|DI_Reg[6]                                                                                                                  ; CLOCK_50                        ; SW[8]       ; 0.000        ; 3.082      ; 1.478      ;
; -1.796 ; \random:rand_temp[7]                                                                      ; T80se:z80_inst|DI_Reg[7]                                                                                                                  ; CLOCK_50                        ; SW[8]       ; 0.000        ; 3.082      ; 1.572      ;
; -1.784 ; \random:rand_temp[5]                                                                      ; T80se:z80_inst|DI_Reg[5]                                                                                                                  ; CLOCK_50                        ; SW[8]       ; 0.000        ; 3.082      ; 1.584      ;
; -1.604 ; \random:rand_temp[4]                                                                      ; T80se:z80_inst|DI_Reg[4]                                                                                                                  ; CLOCK_50                        ; SW[8]       ; 0.000        ; 3.082      ; 1.764      ;
; -1.473 ; \random:rand_temp[14]                                                                     ; T80se:z80_inst|DI_Reg[6]                                                                                                                  ; CLOCK_50                        ; SW[8]       ; 0.000        ; 3.082      ; 1.895      ;
; -1.366 ; \random:rand_temp[12]                                                                     ; T80se:z80_inst|T80:u0|IR[4]                                                                                                               ; CLOCK_50                        ; SW[8]       ; 0.000        ; 3.076      ; 1.996      ;
; -1.266 ; \random:rand_temp[13]                                                                     ; T80se:z80_inst|T80:u0|IR[5]                                                                                                               ; CLOCK_50                        ; SW[8]       ; 0.000        ; 3.078      ; 2.098      ;
; -1.261 ; \random:rand_temp[3]                                                                      ; T80se:z80_inst|DI_Reg[3]                                                                                                                  ; CLOCK_50                        ; SW[8]       ; 0.000        ; 3.082      ; 2.107      ;
; -1.208 ; \random:rand_temp[6]                                                                      ; T80se:z80_inst|T80:u0|IR[6]                                                                                                               ; CLOCK_50                        ; SW[8]       ; 0.000        ; 3.078      ; 2.156      ;
; -1.172 ; \random:rand_temp[5]                                                                      ; T80se:z80_inst|T80:u0|IR[5]                                                                                                               ; CLOCK_50                        ; SW[8]       ; 0.000        ; 3.078      ; 2.192      ;
; -1.133 ; \random:rand_temp[4]                                                                      ; T80se:z80_inst|T80:u0|IR[4]                                                                                                               ; CLOCK_50                        ; SW[8]       ; 0.000        ; 3.076      ; 2.229      ;
; -1.085 ; \random:rand_temp[7]                                                                      ; T80se:z80_inst|T80:u0|IR[7]                                                                                                               ; CLOCK_50                        ; SW[8]       ; 0.000        ; 3.076      ; 2.277      ;
; -1.052 ; \random:rand_temp[9]                                                                      ; T80se:z80_inst|DI_Reg[1]                                                                                                                  ; CLOCK_50                        ; SW[8]       ; 0.000        ; 3.082      ; 2.316      ;
; -1.012 ; \random:rand_temp[2]                                                                      ; T80se:z80_inst|DI_Reg[2]                                                                                                                  ; CLOCK_50                        ; SW[8]       ; 0.000        ; 3.082      ; 2.356      ;
; -0.995 ; \random:rand_temp[3]                                                                      ; T80se:z80_inst|T80:u0|IR[3]                                                                                                               ; CLOCK_50                        ; SW[8]       ; 0.000        ; 3.078      ; 2.369      ;
; -0.917 ; \random:rand_temp[2]                                                                      ; T80se:z80_inst|T80:u0|IR[2]                                                                                                               ; CLOCK_50                        ; SW[8]       ; 0.000        ; 3.078      ; 2.447      ;
; -0.860 ; \random:rand_temp[8]                                                                      ; T80se:z80_inst|T80:u0|IR[0]                                                                                                               ; CLOCK_50                        ; SW[8]       ; 0.000        ; 3.077      ; 2.503      ;
; -0.804 ; ps2_ascii_reg1[3]                                                                         ; ps2_ascii_reg[3]                                                                                                                          ; CLOCK_50                        ; SW[8]       ; 0.000        ; 3.102      ; 2.584      ;
; -0.774 ; \random:rand_temp[9]                                                                      ; T80se:z80_inst|T80:u0|IR[1]                                                                                                               ; CLOCK_50                        ; SW[8]       ; 0.000        ; 3.078      ; 2.590      ;
; -0.774 ; \random:rand_temp[10]                                                                     ; T80se:z80_inst|DI_Reg[2]                                                                                                                  ; CLOCK_50                        ; SW[8]       ; 0.000        ; 3.082      ; 2.594      ;
; -0.772 ; \random:rand_temp[15]                                                                     ; T80se:z80_inst|T80:u0|IR[7]                                                                                                               ; CLOCK_50                        ; SW[8]       ; 0.000        ; 3.076      ; 2.590      ;
; -0.768 ; \random:rand_temp[1]                                                                      ; T80se:z80_inst|DI_Reg[1]                                                                                                                  ; CLOCK_50                        ; SW[8]       ; 0.000        ; 3.082      ; 2.600      ;
; -0.751 ; \random:rand_temp[14]                                                                     ; T80se:z80_inst|T80:u0|IR[6]                                                                                                               ; CLOCK_50                        ; SW[8]       ; 0.000        ; 3.078      ; 2.613      ;
; -0.679 ; \random:rand_temp[10]                                                                     ; T80se:z80_inst|T80:u0|IR[2]                                                                                                               ; CLOCK_50                        ; SW[8]       ; 0.000        ; 3.078      ; 2.685      ;
; -0.592 ; ps2_ascii_reg1[5]                                                                         ; ps2_ascii_reg[5]                                                                                                                          ; CLOCK_50                        ; SW[8]       ; 0.000        ; 3.096      ; 2.790      ;
; -0.558 ; ps2_ascii_reg1[7]                                                                         ; ps2_ascii_reg[7]                                                                                                                          ; CLOCK_50                        ; SW[8]       ; 0.000        ; 3.096      ; 2.824      ;
; -0.542 ; ps2_ascii_reg1[0]                                                                         ; ps2_ascii_reg[0]                                                                                                                          ; CLOCK_50                        ; SW[8]       ; 0.000        ; 3.102      ; 2.846      ;
; -0.528 ; ps2_ascii_reg1[2]                                                                         ; ps2_ascii_reg[2]                                                                                                                          ; CLOCK_50                        ; SW[8]       ; 0.000        ; 3.102      ; 2.860      ;
; -0.523 ; \random:rand_temp[11]                                                                     ; T80se:z80_inst|DI_Reg[3]                                                                                                                  ; CLOCK_50                        ; SW[8]       ; 0.000        ; 3.082      ; 2.845      ;
; -0.519 ; \random:rand_temp[8]                                                                      ; T80se:z80_inst|DI_Reg[0]                                                                                                                  ; CLOCK_50                        ; SW[8]       ; 0.000        ; 3.082      ; 2.849      ;
; -0.511 ; ps2_ascii_reg1[1]                                                                         ; ps2_ascii_reg[1]                                                                                                                          ; CLOCK_50                        ; SW[8]       ; 0.000        ; 3.102      ; 2.877      ;
; -0.490 ; \random:rand_temp[1]                                                                      ; T80se:z80_inst|T80:u0|IR[1]                                                                                                               ; CLOCK_50                        ; SW[8]       ; 0.000        ; 3.078      ; 2.874      ;
; -0.425 ; ps2_ascii_reg1[4]                                                                         ; ps2_ascii_reg[4]                                                                                                                          ; CLOCK_50                        ; SW[8]       ; 0.000        ; 3.096      ; 2.957      ;
; -0.343 ; ps2_ascii_reg1[6]                                                                         ; ps2_ascii_reg[6]                                                                                                                          ; CLOCK_50                        ; SW[8]       ; 0.000        ; 3.096      ; 3.039      ;
; -0.285 ; \random:rand_temp[15]                                                                     ; T80se:z80_inst|DI_Reg[7]                                                                                                                  ; CLOCK_50                        ; SW[8]       ; 0.000        ; 3.082      ; 3.083      ;
; -0.284 ; \random:rand_temp[0]                                                                      ; T80se:z80_inst|T80:u0|IR[0]                                                                                                               ; CLOCK_50                        ; SW[8]       ; 0.000        ; 3.077      ; 3.079      ;
; -0.257 ; \random:rand_temp[11]                                                                     ; T80se:z80_inst|T80:u0|IR[3]                                                                                                               ; CLOCK_50                        ; SW[8]       ; 0.000        ; 3.078      ; 3.107      ;
; 0.057  ; \random:rand_temp[0]                                                                      ; T80se:z80_inst|DI_Reg[0]                                                                                                                  ; CLOCK_50                        ; SW[8]       ; 0.000        ; 3.082      ; 3.425      ;
; 0.445  ; T80se:z80_inst|T80:u0|TState[0]                                                           ; T80se:z80_inst|T80:u0|TState[0]                                                                                                           ; SW[8]                           ; SW[8]       ; 0.000        ; 0.000      ; 0.731      ;
; 0.445  ; T80se:z80_inst|T80:u0|TState[1]                                                           ; T80se:z80_inst|T80:u0|TState[1]                                                                                                           ; SW[8]                           ; SW[8]       ; 0.000        ; 0.000      ; 0.731      ;
; 0.445  ; T80se:z80_inst|T80:u0|TState[2]                                                           ; T80se:z80_inst|T80:u0|TState[2]                                                                                                           ; SW[8]                           ; SW[8]       ; 0.000        ; 0.000      ; 0.731      ;
; 0.445  ; T80se:z80_inst|T80:u0|IntE_FF2                                                            ; T80se:z80_inst|T80:u0|IntE_FF2                                                                                                            ; SW[8]                           ; SW[8]       ; 0.000        ; 0.000      ; 0.731      ;
; 0.445  ; T80se:z80_inst|T80:u0|Halt_FF                                                             ; T80se:z80_inst|T80:u0|Halt_FF                                                                                                             ; SW[8]                           ; SW[8]       ; 0.000        ; 0.000      ; 0.731      ;
; 0.445  ; T80se:z80_inst|T80:u0|R[7]                                                                ; T80se:z80_inst|T80:u0|R[7]                                                                                                                ; SW[8]                           ; SW[8]       ; 0.000        ; 0.000      ; 0.731      ;
; 0.445  ; T80se:z80_inst|T80:u0|BTR_r                                                               ; T80se:z80_inst|T80:u0|BTR_r                                                                                                               ; SW[8]                           ; SW[8]       ; 0.000        ; 0.000      ; 0.731      ;
; 0.445  ; T80se:z80_inst|T80:u0|MCycle[0]                                                           ; T80se:z80_inst|T80:u0|MCycle[0]                                                                                                           ; SW[8]                           ; SW[8]       ; 0.000        ; 0.000      ; 0.731      ;
; 0.445  ; T80se:z80_inst|T80:u0|MCycle[2]                                                           ; T80se:z80_inst|T80:u0|MCycle[2]                                                                                                           ; SW[8]                           ; SW[8]       ; 0.000        ; 0.000      ; 0.731      ;
; 0.445  ; T80se:z80_inst|T80:u0|Alternate                                                           ; T80se:z80_inst|T80:u0|Alternate                                                                                                           ; SW[8]                           ; SW[8]       ; 0.000        ; 0.000      ; 0.731      ;
; 0.445  ; T80se:z80_inst|T80:u0|MCycle[1]                                                           ; T80se:z80_inst|T80:u0|MCycle[1]                                                                                                           ; SW[8]                           ; SW[8]       ; 0.000        ; 0.000      ; 0.731      ;
; 0.625  ; T80se:z80_inst|T80:u0|R[6]                                                                ; T80se:z80_inst|T80:u0|R[6]                                                                                                                ; SW[8]                           ; SW[8]       ; 0.000        ; 0.000      ; 0.911      ;
; 0.636  ; T80se:z80_inst|T80:u0|ACC[5]                                                              ; T80se:z80_inst|T80:u0|Ap[5]                                                                                                               ; SW[8]                           ; SW[8]       ; 0.000        ; 0.000      ; 0.922      ;
; 0.646  ; T80se:z80_inst|T80:u0|ACC[4]                                                              ; T80se:z80_inst|T80:u0|Ap[4]                                                                                                               ; SW[8]                           ; SW[8]       ; 0.000        ; 0.000      ; 0.932      ;
; 0.769  ; T80se:z80_inst|T80:u0|Ap[4]                                                               ; T80se:z80_inst|T80:u0|ACC[4]                                                                                                              ; SW[8]                           ; SW[8]       ; 0.000        ; 0.000      ; 1.055      ;
; 0.772  ; T80se:z80_inst|T80:u0|Ap[6]                                                               ; T80se:z80_inst|T80:u0|ACC[6]                                                                                                              ; SW[8]                           ; SW[8]       ; 0.000        ; 0.000      ; 1.058      ;
; 0.776  ; T80se:z80_inst|T80:u0|F[3]                                                                ; T80se:z80_inst|T80:u0|Fp[3]                                                                                                               ; SW[8]                           ; SW[8]       ; 0.000        ; 0.000      ; 1.062      ;
; 0.788  ; T80se:z80_inst|T80:u0|ACC[6]                                                              ; T80se:z80_inst|T80:u0|Ap[6]                                                                                                               ; SW[8]                           ; SW[8]       ; 0.000        ; 0.000      ; 1.074      ;
; 0.791  ; T80se:z80_inst|T80:u0|F[0]                                                                ; T80se:z80_inst|T80:u0|Fp[0]                                                                                                               ; SW[8]                           ; SW[8]       ; 0.000        ; 0.000      ; 1.077      ;
; 0.797  ; T80se:z80_inst|T80:u0|F[6]                                                                ; T80se:z80_inst|T80:u0|Fp[6]                                                                                                               ; SW[8]                           ; SW[8]       ; 0.000        ; 0.000      ; 1.083      ;
; 0.799  ; T80se:z80_inst|T80:u0|F[2]                                                                ; T80se:z80_inst|T80:u0|Fp[2]                                                                                                               ; SW[8]                           ; SW[8]       ; 0.000        ; 0.000      ; 1.085      ;
; 0.815  ; T80se:z80_inst|T80:u0|XY_Ind                                                              ; T80se:z80_inst|T80:u0|RegAddrA_r[0]                                                                                                       ; SW[8]                           ; SW[8]       ; 0.000        ; 0.000      ; 1.101      ;
; 0.856  ; T80se:z80_inst|T80:u0|ACC[6]                                                              ; T80se:z80_inst|T80:u0|I[6]                                                                                                                ; SW[8]                           ; SW[8]       ; 0.000        ; 0.001      ; 1.143      ;
; 0.864  ; T80se:z80_inst|T80:u0|ACC[4]                                                              ; T80se:z80_inst|T80:u0|I[4]                                                                                                                ; SW[8]                           ; SW[8]       ; 0.000        ; 0.001      ; 1.151      ;
; 0.874  ; T80se:z80_inst|T80:u0|ACC[1]                                                              ; T80se:z80_inst|T80:u0|I[1]                                                                                                                ; SW[8]                           ; SW[8]       ; 0.000        ; 0.001      ; 1.161      ;
; 0.885  ; T80se:z80_inst|T80:u0|ACC[5]                                                              ; T80se:z80_inst|T80:u0|I[5]                                                                                                                ; SW[8]                           ; SW[8]       ; 0.000        ; 0.001      ; 1.172      ;
; 0.907  ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[2][3]                                            ; T80se:z80_inst|T80:u0|RegBusA_r[3]                                                                                                        ; SW[8]                           ; SW[8]       ; 0.000        ; 0.000      ; 1.193      ;
; 0.908  ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[7][4]                                            ; T80se:z80_inst|T80:u0|RegBusA_r[4]                                                                                                        ; SW[8]                           ; SW[8]       ; 0.000        ; 0.000      ; 1.194      ;
; 0.972  ; T80se:z80_inst|T80:u0|R[4]                                                                ; T80se:z80_inst|T80:u0|R[4]                                                                                                                ; SW[8]                           ; SW[8]       ; 0.000        ; 0.000      ; 1.258      ;
; 0.977  ; T80se:z80_inst|T80:u0|I[2]                                                                ; T80se:z80_inst|T80:u0|A[10]                                                                                                               ; SW[8]                           ; SW[8]       ; 0.000        ; 0.000      ; 1.263      ;
; 0.984  ; T80se:z80_inst|T80:u0|TState[1]                                                           ; T80se:z80_inst|T80:u0|TState[2]                                                                                                           ; SW[8]                           ; SW[8]       ; 0.000        ; 0.000      ; 1.270      ;
; 0.985  ; T80se:z80_inst|T80:u0|R[2]                                                                ; T80se:z80_inst|T80:u0|R[2]                                                                                                                ; SW[8]                           ; SW[8]       ; 0.000        ; 0.000      ; 1.271      ;
; 1.001  ; T80se:z80_inst|T80:u0|F[5]                                                                ; T80se:z80_inst|T80:u0|Fp[5]                                                                                                               ; SW[8]                           ; SW[8]       ; 0.000        ; 0.000      ; 1.287      ;
; 1.012  ; T80se:z80_inst|T80:u0|R[1]                                                                ; T80se:z80_inst|T80:u0|R[1]                                                                                                                ; SW[8]                           ; SW[8]       ; 0.000        ; 0.000      ; 1.298      ;
; 1.013  ; T80se:z80_inst|T80:u0|XY_Ind                                                              ; T80se:z80_inst|T80:u0|RegAddrB_r[0]                                                                                                       ; SW[8]                           ; SW[8]       ; 0.000        ; 0.000      ; 1.299      ;
; 1.015  ; T80se:z80_inst|T80:u0|R[0]                                                                ; T80se:z80_inst|T80:u0|R[0]                                                                                                                ; SW[8]                           ; SW[8]       ; 0.000        ; 0.000      ; 1.301      ;
; 1.018  ; T80se:z80_inst|T80:u0|Ap[5]                                                               ; T80se:z80_inst|T80:u0|ACC[5]                                                                                                              ; SW[8]                           ; SW[8]       ; 0.000        ; 0.000      ; 1.304      ;
; 1.020  ; T80se:z80_inst|T80:u0|R[5]                                                                ; T80se:z80_inst|T80:u0|R[5]                                                                                                                ; SW[8]                           ; SW[8]       ; 0.000        ; 0.000      ; 1.306      ;
; 1.023  ; T80se:z80_inst|T80:u0|R[3]                                                                ; T80se:z80_inst|T80:u0|R[3]                                                                                                                ; SW[8]                           ; SW[8]       ; 0.000        ; 0.000      ; 1.309      ;
; 1.032  ; T80se:z80_inst|T80:u0|ACC[2]                                                              ; T80se:z80_inst|T80:u0|Ap[2]                                                                                                               ; SW[8]                           ; SW[8]       ; 0.000        ; 0.000      ; 1.318      ;
; 1.039  ; T80se:z80_inst|T80:u0|TState[0]                                                           ; T80se:z80_inst|T80:u0|TState[1]                                                                                                           ; SW[8]                           ; SW[8]       ; 0.000        ; 0.000      ; 1.325      ;
; 1.040  ; T80se:z80_inst|T80:u0|TState[0]                                                           ; T80se:z80_inst|T80:u0|TState[2]                                                                                                           ; SW[8]                           ; SW[8]       ; 0.000        ; 0.000      ; 1.326      ;
; 1.048  ; T80se:z80_inst|T80:u0|TState[0]                                                           ; T80se:z80_inst|MREQ_n                                                                                                                     ; SW[8]                           ; SW[8]       ; 0.000        ; 0.001      ; 1.335      ;
; 1.057  ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[2][3]                                            ; T80se:z80_inst|T80:u0|RegBusA_r[11]                                                                                                       ; SW[8]                           ; SW[8]       ; 0.000        ; 0.000      ; 1.343      ;
; 1.058  ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[2][1]                                            ; T80se:z80_inst|T80:u0|RegBusA_r[1]                                                                                                        ; SW[8]                           ; SW[8]       ; 0.000        ; 0.000      ; 1.344      ;
; 1.067  ; T80se:z80_inst|T80:u0|F[1]                                                                ; T80se:z80_inst|T80:u0|Fp[1]                                                                                                               ; SW[8]                           ; SW[8]       ; 0.000        ; 0.000      ; 1.353      ;
; 1.068  ; T80se:z80_inst|T80:u0|F[4]                                                                ; T80se:z80_inst|T80:u0|Fp[4]                                                                                                               ; SW[8]                           ; SW[8]       ; 0.000        ; 0.000      ; 1.354      ;
; 1.095  ; T80se:z80_inst|T80:u0|A[6]                                                                ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_66l1:auto_generated|altsyncram_pal1:altsyncram1|ram_block2a7~portb_address_reg6 ; SW[8]                           ; SW[8]       ; 0.000        ; 0.113      ; 1.458      ;
; 1.097  ; T80se:z80_inst|T80:u0|A[5]                                                                ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_66l1:auto_generated|altsyncram_pal1:altsyncram1|ram_block2a4~portb_address_reg5 ; SW[8]                           ; SW[8]       ; 0.000        ; 0.116      ; 1.463      ;
; 1.107  ; T80se:z80_inst|T80:u0|A[5]                                                                ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_66l1:auto_generated|altsyncram_pal1:altsyncram1|ram_block2a7~portb_address_reg5 ; SW[8]                           ; SW[8]       ; 0.000        ; 0.113      ; 1.470      ;
; 1.118  ; T80se:z80_inst|T80:u0|A[7]                                                                ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_66l1:auto_generated|altsyncram_pal1:altsyncram1|ram_block2a7~portb_address_reg7 ; SW[8]                           ; SW[8]       ; 0.000        ; 0.113      ; 1.481      ;
; 1.123  ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_tr91:auto_generated|ram_block1a29 ; T80se:z80_inst|DI_Reg[5]                                                                                                                  ; clk_div:clkdiv_inst|clock_25MHz ; SW[8]       ; 0.000        ; 3.648      ; 5.057      ;
; 1.126  ; T80se:z80_inst|T80:u0|PC[0]                                                               ; T80se:z80_inst|T80:u0|PC[0]                                                                                                               ; SW[8]                           ; SW[8]       ; 0.000        ; 0.000      ; 1.412      ;
; 1.148  ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[7][6]                                            ; T80se:z80_inst|T80:u0|RegBusA_r[14]                                                                                                       ; SW[8]                           ; SW[8]       ; 0.000        ; -0.001     ; 1.433      ;
; 1.149  ; T80se:z80_inst|T80:u0|ACC[0]                                                              ; T80se:z80_inst|T80:u0|I[0]                                                                                                                ; SW[8]                           ; SW[8]       ; 0.000        ; 0.001      ; 1.436      ;
; 1.222  ; T80se:z80_inst|T80:u0|TState[2]                                                           ; T80se:z80_inst|MREQ_n                                                                                                                     ; SW[8]                           ; SW[8]       ; 0.000        ; 0.001      ; 1.509      ;
; 1.245  ; T80se:z80_inst|T80:u0|Ap[2]                                                               ; T80se:z80_inst|T80:u0|ACC[2]                                                                                                              ; SW[8]                           ; SW[8]       ; 0.000        ; 0.000      ; 1.531      ;
; 1.250  ; T80se:z80_inst|T80:u0|DO[4]                                                               ; T80se:z80_inst|T80:u0|DO[4]                                                                                                               ; SW[8]                           ; SW[8]       ; 0.000        ; 0.000      ; 1.536      ;
; 1.259  ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[2][5]                                            ; T80se:z80_inst|T80:u0|RegBusA_r[5]                                                                                                        ; SW[8]                           ; SW[8]       ; 0.000        ; 0.000      ; 1.545      ;
; 1.261  ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[3][7]                                            ; T80se:z80_inst|T80:u0|RegBusA_r[7]                                                                                                        ; SW[8]                           ; SW[8]       ; 0.000        ; 0.000      ; 1.547      ;
+--------+-------------------------------------------------------------------------------------------+-------------------------------------------------------------------------------------------------------------------------------------------+---------------------------------+-------------+--------------+------------+------------+


+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Slow Model Hold: 'clk_div:clkdiv_inst|clock_100Khz_int'                                                                                                                                                   ;
+--------+-------------------------------------+-------------------------------------+--------------------------------------+--------------------------------------+--------------+------------+------------+
; Slack  ; From Node                           ; To Node                             ; Launch Clock                         ; Latch Clock                          ; Relationship ; Clock Skew ; Data Delay ;
+--------+-------------------------------------+-------------------------------------+--------------------------------------+--------------------------------------+--------------+------------+------------+
; -2.129 ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int  ; clk_div:clkdiv_inst|clock_100Khz_int ; 0.000        ; 2.297      ; 0.731      ;
; -1.629 ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int  ; clk_div:clkdiv_inst|clock_100Khz_int ; -0.500       ; 2.297      ; 0.731      ;
; 0.445  ; clk_div:clkdiv_inst|count_10Khz[1]  ; clk_div:clkdiv_inst|count_10Khz[1]  ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; 0.000        ; 0.000      ; 0.731      ;
; 0.445  ; clk_div:clkdiv_inst|count_10Khz[0]  ; clk_div:clkdiv_inst|count_10Khz[0]  ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; 0.000        ; 0.000      ; 0.731      ;
; 0.445  ; clk_div:clkdiv_inst|count_10Khz[2]  ; clk_div:clkdiv_inst|count_10Khz[2]  ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; 0.000        ; 0.000      ; 0.731      ;
; 0.621  ; clk_div:clkdiv_inst|count_10Khz[2]  ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; 0.000        ; 0.000      ; 0.907      ;
; 0.629  ; clk_div:clkdiv_inst|count_10Khz[1]  ; clk_div:clkdiv_inst|count_10Khz[0]  ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; 0.000        ; 0.000      ; 0.915      ;
; 0.630  ; clk_div:clkdiv_inst|count_10Khz[0]  ; clk_div:clkdiv_inst|count_10Khz[1]  ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; 0.000        ; 0.000      ; 0.916      ;
; 0.631  ; clk_div:clkdiv_inst|count_10Khz[0]  ; clk_div:clkdiv_inst|count_10Khz[2]  ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; 0.000        ; 0.000      ; 0.917      ;
; 0.932  ; clk_div:clkdiv_inst|count_10Khz[2]  ; clk_div:clkdiv_inst|count_10Khz[0]  ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; 0.000        ; 0.000      ; 1.218      ;
; 0.979  ; clk_div:clkdiv_inst|count_10Khz[0]  ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; 0.000        ; 0.000      ; 1.265      ;
; 1.018  ; clk_div:clkdiv_inst|count_10Khz[1]  ; clk_div:clkdiv_inst|count_10Khz[2]  ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; 0.000        ; 0.000      ; 1.304      ;
; 1.019  ; clk_div:clkdiv_inst|count_10Khz[1]  ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; 0.000        ; 0.000      ; 1.305      ;
+--------+-------------------------------------+-------------------------------------+--------------------------------------+--------------------------------------+--------------+------------+------------+


+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Slow Model Hold: 'clk_div:clkdiv_inst|clock_1Khz_int'                                                                                                                                                ;
+-------+-------------------------------------+-------------------------------------+------------------------------------+------------------------------------+--------------+------------+------------+
; Slack ; From Node                           ; To Node                             ; Launch Clock                       ; Latch Clock                        ; Relationship ; Clock Skew ; Data Delay ;
+-------+-------------------------------------+-------------------------------------+------------------------------------+------------------------------------+--------------+------------+------------+
; 0.445 ; clk_div:clkdiv_inst|count_100hz[1]  ; clk_div:clkdiv_inst|count_100hz[1]  ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; 0.000        ; 0.000      ; 0.731      ;
; 0.445 ; clk_div:clkdiv_inst|count_100hz[0]  ; clk_div:clkdiv_inst|count_100hz[0]  ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; 0.000        ; 0.000      ; 0.731      ;
; 0.445 ; clk_div:clkdiv_inst|count_100hz[2]  ; clk_div:clkdiv_inst|count_100hz[2]  ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; 0.000        ; 0.000      ; 0.731      ;
; 0.445 ; clk_div:clkdiv_inst|clock_100hz_int ; clk_div:clkdiv_inst|clock_100hz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; 0.000        ; 0.000      ; 0.731      ;
; 0.643 ; clk_div:clkdiv_inst|count_100hz[2]  ; clk_div:clkdiv_inst|clock_100hz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; 0.000        ; 0.000      ; 0.929      ;
; 0.646 ; clk_div:clkdiv_inst|count_100hz[0]  ; clk_div:clkdiv_inst|count_100hz[2]  ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; 0.000        ; 0.000      ; 0.932      ;
; 0.647 ; clk_div:clkdiv_inst|count_100hz[0]  ; clk_div:clkdiv_inst|count_100hz[1]  ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; 0.000        ; 0.000      ; 0.933      ;
; 0.651 ; clk_div:clkdiv_inst|count_100hz[1]  ; clk_div:clkdiv_inst|count_100hz[0]  ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; 0.000        ; 0.000      ; 0.937      ;
; 0.952 ; clk_div:clkdiv_inst|count_100hz[2]  ; clk_div:clkdiv_inst|count_100hz[0]  ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; 0.000        ; 0.000      ; 1.238      ;
; 0.994 ; clk_div:clkdiv_inst|count_100hz[0]  ; clk_div:clkdiv_inst|clock_100hz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; 0.000        ; 0.000      ; 1.280      ;
; 0.999 ; clk_div:clkdiv_inst|count_100hz[1]  ; clk_div:clkdiv_inst|count_100hz[2]  ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; 0.000        ; 0.000      ; 1.285      ;
; 1.187 ; clk_div:clkdiv_inst|count_100hz[1]  ; clk_div:clkdiv_inst|clock_100hz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; 0.000        ; 0.000      ; 1.473      ;
+-------+-------------------------------------+-------------------------------------+------------------------------------+------------------------------------+--------------+------------+------------+


+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Slow Model Hold: 'clk_div:clkdiv_inst|clock_25MHz'                                                                                                                                                                                                                                                                                                             ;
+-------+----------------------------------------------------------------------------------------------+--------------------------------------------------------------------------------------------------------------------------------------------+---------------------------------+---------------------------------+--------------+------------+------------+
; Slack ; From Node                                                                                    ; To Node                                                                                                                                    ; Launch Clock                    ; Latch Clock                     ; Relationship ; Clock Skew ; Data Delay ;
+-------+----------------------------------------------------------------------------------------------+--------------------------------------------------------------------------------------------------------------------------------------------+---------------------------------+---------------------------------+--------------+------------+------------+
; 0.445 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[8]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[8]                                                                                         ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.000      ; 0.731      ;
; 0.445 ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[4]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[4]                                                                                         ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.000      ; 0.731      ;
; 0.445 ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[6]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[6]                                                                                         ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.000      ; 0.731      ;
; 0.445 ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[7]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[7]                                                                                         ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.000      ; 0.731      ;
; 0.445 ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[8]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[8]                                                                                         ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.000      ; 0.731      ;
; 0.445 ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[9]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[9]                                                                                         ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.000      ; 0.731      ;
; 0.445 ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[3]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[3]                                                                                         ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.000      ; 0.731      ;
; 0.445 ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[2]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[2]                                                                                         ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.000      ; 0.731      ;
; 0.445 ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[5]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[5]                                                                                         ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.000      ; 0.731      ;
; 0.617 ; video:video_inst|VGA_SYNC:vga_sync_inst|horiz_sync                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|horiz_sync_out                                                                                     ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.000      ; 0.903      ;
; 0.625 ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_tr91:auto_generated|address_reg_a[0] ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_tr91:auto_generated|out_address_reg_a[0]                                           ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.000      ; 0.911      ;
; 0.649 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[3]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[3]                                                                                    ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.000      ; 0.935      ;
; 0.667 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[8]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[5]                                                                                         ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.000      ; 0.953      ;
; 0.667 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[8]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[0]                                                                                         ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.000      ; 0.953      ;
; 0.668 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[8]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[9]                                                                                         ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.000      ; 0.954      ;
; 0.760 ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_tr91:auto_generated|address_reg_a[1] ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_tr91:auto_generated|out_address_reg_a[1]                                           ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.000      ; 1.046      ;
; 0.813 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[4]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[4]                                                                                    ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.000      ; 1.099      ;
; 0.814 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[6]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[6]                                                                                    ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.000      ; 1.100      ;
; 0.912 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[2]                                      ; video:video_inst|VGA_SYNC:vga_sync_inst|blue_out[3]                                                                                        ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.000      ; 1.198      ;
; 0.968 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[1]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[1]                                                                                         ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.000      ; 1.254      ;
; 0.983 ; video:video_inst|VGA_SYNC:vga_sync_inst|vert_sync                                            ; video:video_inst|VGA_SYNC:vga_sync_inst|vert_sync_out                                                                                      ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.000      ; 1.269      ;
; 0.984 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[7]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[7]                                                                                         ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.000      ; 1.270      ;
; 0.987 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[3]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[3]                                                                                         ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.000      ; 1.273      ;
; 1.037 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[2]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[2]                                                                                         ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.000      ; 1.323      ;
; 1.040 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[4]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[4]                                                                                         ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.000      ; 1.326      ;
; 1.042 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[2]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[2]                                                                                    ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; -0.003     ; 1.325      ;
; 1.046 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[6]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[6]                                                                                         ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.000      ; 1.332      ;
; 1.133 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[2]                                         ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a1~porta_address_reg2    ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.077      ; 1.460      ;
; 1.243 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[8]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|video_on_h                                                                                         ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; -0.003     ; 1.526      ;
; 1.305 ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[6]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[6]                                                                                       ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; -0.003     ; 1.588      ;
; 1.311 ; video:video_inst|VGA_SYNC:vga_sync_inst|video_on_h                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|blue_out[3]                                                                                        ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.000      ; 1.597      ;
; 1.317 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[9]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[9]                                                                                    ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; -0.003     ; 1.600      ;
; 1.361 ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[3]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[3]                                                                                       ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; -0.003     ; 1.644      ;
; 1.362 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[8]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[8]                                                                                    ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; -0.003     ; 1.645      ;
; 1.377 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[1]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[1]                                                                                    ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; -0.003     ; 1.660      ;
; 1.392 ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[2]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[2]                                                                                       ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; -0.002     ; 1.676      ;
; 1.419 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[3]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[4]                                                                                         ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.000      ; 1.705      ;
; 1.457 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[2]                                         ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a0~porta_address_reg2    ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.074      ; 1.781      ;
; 1.459 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[2]                                         ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a5~porta_address_reg2    ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.081      ; 1.790      ;
; 1.460 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[3]                                      ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_66l1:auto_generated|altsyncram_pal1:altsyncram1|ram_block2a14~porta_address_reg0 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.078      ; 1.788      ;
; 1.470 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[2]                                         ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a4~porta_address_reg2    ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.076      ; 1.796      ;
; 1.470 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[2]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[3]                                                                                         ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.000      ; 1.756      ;
; 1.475 ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[5]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[5]                                                                                       ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; -0.003     ; 1.758      ;
; 1.479 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[6]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[7]                                                                                         ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.000      ; 1.765      ;
; 1.482 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[0]                                         ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a4~porta_address_reg0    ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.076      ; 1.808      ;
; 1.482 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[7]                                      ; video:video_inst|VGA_SYNC:vga_sync_inst|blue_out[3]                                                                                        ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.000      ; 1.768      ;
; 1.495 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[5]                                      ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_66l1:auto_generated|altsyncram_pal1:altsyncram1|ram_block2a12~porta_address_reg2 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.077      ; 1.822      ;
; 1.499 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[5]                                      ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_66l1:auto_generated|altsyncram_pal1:altsyncram1|ram_block2a4~porta_address_reg2  ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.076      ; 1.825      ;
; 1.501 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[1]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[2]                                                                                         ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.000      ; 1.787      ;
; 1.503 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[1]                                         ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a0~porta_address_reg1    ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.074      ; 1.827      ;
; 1.503 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[5]                                      ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_66l1:auto_generated|altsyncram_pal1:altsyncram1|ram_block2a8~porta_address_reg2  ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.070      ; 1.823      ;
; 1.505 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[0]                                         ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a0~porta_address_reg0    ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.074      ; 1.829      ;
; 1.505 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[6]                                      ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_66l1:auto_generated|altsyncram_pal1:altsyncram1|ram_block2a14~porta_address_reg3 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.078      ; 1.833      ;
; 1.507 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[5]                                      ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_66l1:auto_generated|altsyncram_pal1:altsyncram1|ram_block2a10~porta_address_reg2 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.063      ; 1.820      ;
; 1.512 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[4]                                      ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_66l1:auto_generated|altsyncram_pal1:altsyncram1|ram_block2a8~porta_address_reg1  ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.067      ; 1.829      ;
; 1.514 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[6]                                      ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_66l1:auto_generated|altsyncram_pal1:altsyncram1|ram_block2a12~porta_address_reg3 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.074      ; 1.838      ;
; 1.516 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[6]                                      ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_66l1:auto_generated|altsyncram_pal1:altsyncram1|ram_block2a8~porta_address_reg3  ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.067      ; 1.833      ;
; 1.521 ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[8]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[8]                                                                                       ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; -0.003     ; 1.804      ;
; 1.528 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[6]                                      ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_66l1:auto_generated|altsyncram_pal1:altsyncram1|ram_block2a4~porta_address_reg3  ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.073      ; 1.851      ;
; 1.530 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[3]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[5]                                                                                         ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.000      ; 1.816      ;
; 1.535 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[9]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|video_on_h                                                                                         ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; -0.003     ; 1.818      ;
; 1.537 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[6]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|horiz_sync                                                                                         ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; -0.003     ; 1.820      ;
; 1.538 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[6]                                      ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_66l1:auto_generated|altsyncram_pal1:altsyncram1|ram_block2a7~porta_address_reg3  ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.071      ; 1.859      ;
; 1.540 ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[9]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|vert_sync                                                                                          ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; -0.002     ; 1.824      ;
; 1.541 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[2]                                      ; video:video_inst|VGA_SYNC:vga_sync_inst|blue_out[0]                                                                                        ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.000      ; 1.827      ;
; 1.541 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[2]                                      ; video:video_inst|VGA_SYNC:vga_sync_inst|blue_out[1]                                                                                        ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.000      ; 1.827      ;
; 1.544 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[2]                                      ; video:video_inst|VGA_SYNC:vga_sync_inst|blue_out[2]                                                                                        ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.000      ; 1.830      ;
; 1.550 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[2]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[4]                                                                                         ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.000      ; 1.836      ;
; 1.553 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[4]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[6]                                                                                         ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.000      ; 1.839      ;
; 1.579 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[3]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[6]                                                                                         ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.000      ; 1.865      ;
; 1.581 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[1]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[3]                                                                                         ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.000      ; 1.867      ;
; 1.621 ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[4]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[4]                                                                                       ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; -0.002     ; 1.905      ;
; 1.629 ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[0]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[0]                                                                                       ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; -0.002     ; 1.913      ;
; 1.633 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[4]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[7]                                                                                         ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.000      ; 1.919      ;
; 1.646 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[7]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|video_on_h                                                                                         ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; -0.003     ; 1.929      ;
; 1.648 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[7]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[7]                                                                                    ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; -0.003     ; 1.931      ;
; 1.659 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[9]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[9]                                                                                         ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.000      ; 1.945      ;
; 1.659 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[3]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[7]                                                                                         ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.000      ; 1.945      ;
; 1.660 ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[1]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[1]                                                                                       ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; -0.002     ; 1.944      ;
; 1.661 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[1]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[4]                                                                                         ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.000      ; 1.947      ;
; 1.664 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[5]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[6]                                                                                         ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.000      ; 1.950      ;
; 1.676 ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[8]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[1]                                                                                         ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; -0.001     ; 1.961      ;
; 1.677 ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[8]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[0]                                                                                         ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; -0.001     ; 1.962      ;
; 1.690 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[3]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[8]                                                                                         ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.000      ; 1.976      ;
; 1.700 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[4]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[5]                                                                                         ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.000      ; 1.986      ;
; 1.710 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[2]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[6]                                                                                         ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.000      ; 1.996      ;
; 1.730 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[3]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[0]                                                                                         ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.000      ; 2.016      ;
; 1.730 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[3]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[9]                                                                                         ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.000      ; 2.016      ;
; 1.744 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[5]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[7]                                                                                         ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.000      ; 2.030      ;
; 1.757 ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[4]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|vert_sync                                                                                          ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; -0.002     ; 2.041      ;
; 1.790 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[2]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[7]                                                                                         ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.000      ; 2.076      ;
; 1.797 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[7]                                         ; video:video_inst|VGA_SYNC:vga_sync_inst|blue_out[3]                                                                                        ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; -0.001     ; 2.082      ;
; 1.806 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[7]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[5]                                                                                         ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.000      ; 2.092      ;
; 1.814 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[8]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|horiz_sync                                                                                         ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; -0.003     ; 2.097      ;
; 1.821 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[1]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[6]                                                                                         ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.000      ; 2.107      ;
; 1.834 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[5]                                      ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_66l1:auto_generated|altsyncram_pal1:altsyncram1|ram_block2a2~porta_address_reg2  ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.073      ; 2.157      ;
; 1.840 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[5]                                      ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_66l1:auto_generated|altsyncram_pal1:altsyncram1|ram_block2a14~porta_address_reg2 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.081      ; 2.171      ;
; 1.842 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[4]                                      ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_66l1:auto_generated|altsyncram_pal1:altsyncram1|ram_block2a5~porta_address_reg1  ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.066      ; 2.158      ;
; 1.846 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[0]                                      ; video:video_inst|VGA_SYNC:vga_sync_inst|blue_out[3]                                                                                        ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.000      ; 2.132      ;
; 1.848 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[5]                                      ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_66l1:auto_generated|altsyncram_pal1:altsyncram1|ram_block2a1~porta_address_reg2  ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.080      ; 2.178      ;
+-------+----------------------------------------------------------------------------------------------+--------------------------------------------------------------------------------------------------------------------------------------------+---------------------------------+---------------------------------+--------------+------------+------------+


+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Slow Model Hold: 'clk_div:clkdiv_inst|clock_100Hz'                                                                                                                                                                                ;
+-------+----------------------------------------------------+-----------------------------+-------------------------------------------------------------+---------------------------------+--------------+------------+------------+
; Slack ; From Node                                          ; To Node                     ; Launch Clock                                                ; Latch Clock                     ; Relationship ; Clock Skew ; Data Delay ;
+-------+----------------------------------------------------+-----------------------------+-------------------------------------------------------------+---------------------------------+--------------+------------+------------+
; 0.617 ; ps2kbd:ps2_kbd_inst|caps[1]                        ; ps2kbd:ps2_kbd_inst|caps[0] ; clk_div:clkdiv_inst|clock_100Hz                             ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; 0.000      ; 0.903      ;
; 0.631 ; ps2kbd:ps2_kbd_inst|caps[0]                        ; ps2kbd:ps2_kbd_inst|caps[1] ; clk_div:clkdiv_inst|clock_100Hz                             ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; 0.000      ; 0.917      ;
; 1.709 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[2] ; ps2kbd:ps2_kbd_inst|caps[1] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; 0.139      ; 2.134      ;
; 1.709 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[2] ; ps2kbd:ps2_kbd_inst|caps[0] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; 0.139      ; 2.134      ;
; 1.884 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[6] ; ps2kbd:ps2_kbd_inst|caps[1] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; 0.139      ; 2.309      ;
; 1.884 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[6] ; ps2kbd:ps2_kbd_inst|caps[0] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; 0.139      ; 2.309      ;
; 1.985 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[0] ; ps2kbd:ps2_kbd_inst|caps[1] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; 0.139      ; 2.410      ;
; 1.985 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[0] ; ps2kbd:ps2_kbd_inst|caps[0] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; 0.139      ; 2.410      ;
; 2.385 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[1] ; ps2kbd:ps2_kbd_inst|caps[1] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; 0.139      ; 2.810      ;
; 2.385 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[1] ; ps2kbd:ps2_kbd_inst|caps[0] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; 0.139      ; 2.810      ;
; 2.663 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[5] ; ps2kbd:ps2_kbd_inst|caps[1] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; 0.138      ; 3.087      ;
; 2.663 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[5] ; ps2kbd:ps2_kbd_inst|caps[0] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; 0.138      ; 3.087      ;
; 2.936 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[7] ; ps2kbd:ps2_kbd_inst|caps[1] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; 0.147      ; 3.369      ;
; 2.936 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[7] ; ps2kbd:ps2_kbd_inst|caps[0] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; 0.147      ; 3.369      ;
; 3.173 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[4] ; ps2kbd:ps2_kbd_inst|caps[1] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; 0.138      ; 3.597      ;
; 3.173 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[4] ; ps2kbd:ps2_kbd_inst|caps[0] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; 0.138      ; 3.597      ;
; 3.277 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[3] ; ps2kbd:ps2_kbd_inst|caps[1] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; 0.138      ; 3.701      ;
; 3.277 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[3] ; ps2kbd:ps2_kbd_inst|caps[0] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; 0.138      ; 3.701      ;
+-------+----------------------------------------------------+-----------------------------+-------------------------------------------------------------+---------------------------------+--------------+------------+------------+


+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Slow Model Hold: 'clk_div:clkdiv_inst|clock_25Mhz_int'                                                                                                                                              ;
+-------+-----------------------------------+------------------------------------+-------------------------------------+-------------------------------------+--------------+------------+------------+
; Slack ; From Node                         ; To Node                            ; Launch Clock                        ; Latch Clock                         ; Relationship ; Clock Skew ; Data Delay ;
+-------+-----------------------------------+------------------------------------+-------------------------------------+-------------------------------------+--------------+------------+------------+
; 0.640 ; clk_div:clkdiv_inst|count_1Mhz[4] ; clk_div:clkdiv_inst|count_1Mhz[4]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 0.000        ; 0.000      ; 0.926      ;
; 0.642 ; clk_div:clkdiv_inst|count_1Mhz[4] ; clk_div:clkdiv_inst|clock_1Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 0.000        ; 0.000      ; 0.928      ;
; 0.774 ; clk_div:clkdiv_inst|count_1Mhz[2] ; clk_div:clkdiv_inst|clock_1Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 0.000        ; 0.000      ; 1.060      ;
; 0.960 ; clk_div:clkdiv_inst|count_1Mhz[1] ; clk_div:clkdiv_inst|count_1Mhz[1]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 0.000        ; 0.000      ; 1.246      ;
; 0.987 ; clk_div:clkdiv_inst|count_1Mhz[3] ; clk_div:clkdiv_inst|count_1Mhz[3]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 0.000        ; 0.000      ; 1.273      ;
; 0.989 ; clk_div:clkdiv_inst|count_1Mhz[3] ; clk_div:clkdiv_inst|clock_1Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 0.000        ; 0.000      ; 1.275      ;
; 1.011 ; clk_div:clkdiv_inst|count_1Mhz[0] ; clk_div:clkdiv_inst|count_1Mhz[0]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 0.000        ; 0.000      ; 1.297      ;
; 1.011 ; clk_div:clkdiv_inst|count_1Mhz[2] ; clk_div:clkdiv_inst|count_1Mhz[2]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 0.000        ; 0.000      ; 1.297      ;
; 1.390 ; clk_div:clkdiv_inst|count_1Mhz[4] ; clk_div:clkdiv_inst|count_1Mhz[0]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 0.000        ; 0.000      ; 1.676      ;
; 1.390 ; clk_div:clkdiv_inst|count_1Mhz[4] ; clk_div:clkdiv_inst|count_1Mhz[1]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 0.000        ; 0.000      ; 1.676      ;
; 1.390 ; clk_div:clkdiv_inst|count_1Mhz[4] ; clk_div:clkdiv_inst|count_1Mhz[2]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 0.000        ; 0.000      ; 1.676      ;
; 1.390 ; clk_div:clkdiv_inst|count_1Mhz[4] ; clk_div:clkdiv_inst|count_1Mhz[3]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 0.000        ; 0.000      ; 1.676      ;
; 1.392 ; clk_div:clkdiv_inst|count_1Mhz[1] ; clk_div:clkdiv_inst|count_1Mhz[2]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 0.000        ; 0.000      ; 1.678      ;
; 1.419 ; clk_div:clkdiv_inst|count_1Mhz[3] ; clk_div:clkdiv_inst|count_1Mhz[4]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 0.000        ; 0.000      ; 1.705      ;
; 1.441 ; clk_div:clkdiv_inst|count_1Mhz[0] ; clk_div:clkdiv_inst|count_1Mhz[1]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 0.000        ; 0.000      ; 1.727      ;
; 1.444 ; clk_div:clkdiv_inst|count_1Mhz[2] ; clk_div:clkdiv_inst|count_1Mhz[3]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 0.000        ; 0.000      ; 1.730      ;
; 1.472 ; clk_div:clkdiv_inst|count_1Mhz[1] ; clk_div:clkdiv_inst|count_1Mhz[3]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 0.000        ; 0.000      ; 1.758      ;
; 1.521 ; clk_div:clkdiv_inst|count_1Mhz[0] ; clk_div:clkdiv_inst|count_1Mhz[2]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 0.000        ; 0.000      ; 1.807      ;
; 1.524 ; clk_div:clkdiv_inst|count_1Mhz[2] ; clk_div:clkdiv_inst|count_1Mhz[4]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 0.000        ; 0.000      ; 1.810      ;
; 1.552 ; clk_div:clkdiv_inst|count_1Mhz[1] ; clk_div:clkdiv_inst|count_1Mhz[4]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 0.000        ; 0.000      ; 1.838      ;
; 1.601 ; clk_div:clkdiv_inst|count_1Mhz[0] ; clk_div:clkdiv_inst|count_1Mhz[3]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 0.000        ; 0.000      ; 1.887      ;
; 1.681 ; clk_div:clkdiv_inst|count_1Mhz[0] ; clk_div:clkdiv_inst|count_1Mhz[4]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 0.000        ; 0.000      ; 1.967      ;
; 1.707 ; clk_div:clkdiv_inst|count_1Mhz[3] ; clk_div:clkdiv_inst|count_1Mhz[0]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 0.000        ; 0.000      ; 1.993      ;
; 1.707 ; clk_div:clkdiv_inst|count_1Mhz[3] ; clk_div:clkdiv_inst|count_1Mhz[1]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 0.000        ; 0.000      ; 1.993      ;
; 1.707 ; clk_div:clkdiv_inst|count_1Mhz[3] ; clk_div:clkdiv_inst|count_1Mhz[2]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 0.000        ; 0.000      ; 1.993      ;
+-------+-----------------------------------+------------------------------------+-------------------------------------+-------------------------------------+--------------+------------+------------+


+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Slow Model Recovery: 'ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set'                                                                                                          ;
+--------+-----------+--------------------------------------------------+--------------+-------------------------------------------------+--------------+------------+------------+
; Slack  ; From Node ; To Node                                          ; Launch Clock ; Latch Clock                                     ; Relationship ; Clock Skew ; Data Delay ;
+--------+-----------+--------------------------------------------------+--------------+-------------------------------------------------+--------------+------------+------------+
; -2.017 ; ps2_read  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_ready ; CLOCK_50     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set ; 1.000        ; -1.353     ; 1.702      ;
+--------+-----------+--------------------------------------------------+--------------+-------------------------------------------------+--------------+------------+------------+


+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Slow Model Removal: 'ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set'                                                                                                          ;
+-------+-----------+--------------------------------------------------+--------------+-------------------------------------------------+--------------+------------+------------+
; Slack ; From Node ; To Node                                          ; Launch Clock ; Latch Clock                                     ; Relationship ; Clock Skew ; Data Delay ;
+-------+-----------+--------------------------------------------------+--------------+-------------------------------------------------+--------------+------------+------------+
; 2.769 ; ps2_read  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_ready ; CLOCK_50     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set ; 0.000        ; -1.353     ; 1.702      ;
+-------+-----------+--------------------------------------------------+--------------+-------------------------------------------------+--------------+------------+------------+


+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Slow Model Minimum Pulse Width: 'clk_div:clkdiv_inst|clock_25MHz'                                                                                                                                                                                     ;
+--------+--------------+----------------+------------------+---------------------------------+------------+--------------------------------------------------------------------------------------------------------------------------------------------+
; Slack  ; Actual Width ; Required Width ; Type             ; Clock                           ; Clock Edge ; Target                                                                                                                                     ;
+--------+--------------+----------------+------------------+---------------------------------+------------+--------------------------------------------------------------------------------------------------------------------------------------------+
; -2.064 ; 0.500        ; 2.564          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a0~porta_address_reg0    ;
; -2.064 ; 0.500        ; 2.564          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a0~porta_address_reg0    ;
; -2.064 ; 0.500        ; 2.564          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a0~porta_address_reg1    ;
; -2.064 ; 0.500        ; 2.564          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a0~porta_address_reg1    ;
; -2.064 ; 0.500        ; 2.564          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a0~porta_address_reg10   ;
; -2.064 ; 0.500        ; 2.564          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a0~porta_address_reg10   ;
; -2.064 ; 0.500        ; 2.564          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a0~porta_address_reg2    ;
; -2.064 ; 0.500        ; 2.564          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a0~porta_address_reg2    ;
; -2.064 ; 0.500        ; 2.564          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a0~porta_address_reg3    ;
; -2.064 ; 0.500        ; 2.564          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a0~porta_address_reg3    ;
; -2.064 ; 0.500        ; 2.564          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a0~porta_address_reg4    ;
; -2.064 ; 0.500        ; 2.564          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a0~porta_address_reg4    ;
; -2.064 ; 0.500        ; 2.564          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a0~porta_address_reg5    ;
; -2.064 ; 0.500        ; 2.564          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a0~porta_address_reg5    ;
; -2.064 ; 0.500        ; 2.564          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a0~porta_address_reg6    ;
; -2.064 ; 0.500        ; 2.564          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a0~porta_address_reg6    ;
; -2.064 ; 0.500        ; 2.564          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a0~porta_address_reg7    ;
; -2.064 ; 0.500        ; 2.564          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a0~porta_address_reg7    ;
; -2.064 ; 0.500        ; 2.564          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a0~porta_address_reg8    ;
; -2.064 ; 0.500        ; 2.564          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a0~porta_address_reg8    ;
; -2.064 ; 0.500        ; 2.564          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a0~porta_address_reg9    ;
; -2.064 ; 0.500        ; 2.564          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a0~porta_address_reg9    ;
; -2.064 ; 0.500        ; 2.564          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a1~porta_address_reg0    ;
; -2.064 ; 0.500        ; 2.564          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a1~porta_address_reg0    ;
; -2.064 ; 0.500        ; 2.564          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a1~porta_address_reg1    ;
; -2.064 ; 0.500        ; 2.564          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a1~porta_address_reg1    ;
; -2.064 ; 0.500        ; 2.564          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a1~porta_address_reg10   ;
; -2.064 ; 0.500        ; 2.564          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a1~porta_address_reg10   ;
; -2.064 ; 0.500        ; 2.564          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a1~porta_address_reg2    ;
; -2.064 ; 0.500        ; 2.564          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a1~porta_address_reg2    ;
; -2.064 ; 0.500        ; 2.564          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a1~porta_address_reg3    ;
; -2.064 ; 0.500        ; 2.564          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a1~porta_address_reg3    ;
; -2.064 ; 0.500        ; 2.564          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a1~porta_address_reg4    ;
; -2.064 ; 0.500        ; 2.564          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a1~porta_address_reg4    ;
; -2.064 ; 0.500        ; 2.564          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a1~porta_address_reg5    ;
; -2.064 ; 0.500        ; 2.564          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a1~porta_address_reg5    ;
; -2.064 ; 0.500        ; 2.564          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a1~porta_address_reg6    ;
; -2.064 ; 0.500        ; 2.564          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a1~porta_address_reg6    ;
; -2.064 ; 0.500        ; 2.564          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a1~porta_address_reg7    ;
; -2.064 ; 0.500        ; 2.564          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a1~porta_address_reg7    ;
; -2.064 ; 0.500        ; 2.564          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a1~porta_address_reg8    ;
; -2.064 ; 0.500        ; 2.564          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a1~porta_address_reg8    ;
; -2.064 ; 0.500        ; 2.564          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a1~porta_address_reg9    ;
; -2.064 ; 0.500        ; 2.564          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a1~porta_address_reg9    ;
; -2.064 ; 0.500        ; 2.564          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a4~porta_address_reg0    ;
; -2.064 ; 0.500        ; 2.564          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a4~porta_address_reg0    ;
; -2.064 ; 0.500        ; 2.564          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a4~porta_address_reg1    ;
; -2.064 ; 0.500        ; 2.564          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a4~porta_address_reg1    ;
; -2.064 ; 0.500        ; 2.564          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a4~porta_address_reg10   ;
; -2.064 ; 0.500        ; 2.564          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a4~porta_address_reg10   ;
; -2.064 ; 0.500        ; 2.564          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a4~porta_address_reg2    ;
; -2.064 ; 0.500        ; 2.564          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a4~porta_address_reg2    ;
; -2.064 ; 0.500        ; 2.564          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a4~porta_address_reg3    ;
; -2.064 ; 0.500        ; 2.564          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a4~porta_address_reg3    ;
; -2.064 ; 0.500        ; 2.564          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a4~porta_address_reg4    ;
; -2.064 ; 0.500        ; 2.564          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a4~porta_address_reg4    ;
; -2.064 ; 0.500        ; 2.564          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a4~porta_address_reg5    ;
; -2.064 ; 0.500        ; 2.564          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a4~porta_address_reg5    ;
; -2.064 ; 0.500        ; 2.564          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a4~porta_address_reg6    ;
; -2.064 ; 0.500        ; 2.564          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a4~porta_address_reg6    ;
; -2.064 ; 0.500        ; 2.564          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a4~porta_address_reg7    ;
; -2.064 ; 0.500        ; 2.564          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a4~porta_address_reg7    ;
; -2.064 ; 0.500        ; 2.564          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a4~porta_address_reg8    ;
; -2.064 ; 0.500        ; 2.564          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a4~porta_address_reg8    ;
; -2.064 ; 0.500        ; 2.564          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a4~porta_address_reg9    ;
; -2.064 ; 0.500        ; 2.564          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a4~porta_address_reg9    ;
; -2.064 ; 0.500        ; 2.564          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a5~porta_address_reg0    ;
; -2.064 ; 0.500        ; 2.564          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a5~porta_address_reg0    ;
; -2.064 ; 0.500        ; 2.564          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a5~porta_address_reg1    ;
; -2.064 ; 0.500        ; 2.564          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a5~porta_address_reg1    ;
; -2.064 ; 0.500        ; 2.564          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a5~porta_address_reg10   ;
; -2.064 ; 0.500        ; 2.564          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a5~porta_address_reg10   ;
; -2.064 ; 0.500        ; 2.564          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a5~porta_address_reg2    ;
; -2.064 ; 0.500        ; 2.564          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a5~porta_address_reg2    ;
; -2.064 ; 0.500        ; 2.564          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a5~porta_address_reg3    ;
; -2.064 ; 0.500        ; 2.564          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a5~porta_address_reg3    ;
; -2.064 ; 0.500        ; 2.564          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a5~porta_address_reg4    ;
; -2.064 ; 0.500        ; 2.564          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a5~porta_address_reg4    ;
; -2.064 ; 0.500        ; 2.564          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a5~porta_address_reg5    ;
; -2.064 ; 0.500        ; 2.564          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a5~porta_address_reg5    ;
; -2.064 ; 0.500        ; 2.564          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a5~porta_address_reg6    ;
; -2.064 ; 0.500        ; 2.564          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a5~porta_address_reg6    ;
; -2.064 ; 0.500        ; 2.564          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a5~porta_address_reg7    ;
; -2.064 ; 0.500        ; 2.564          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a5~porta_address_reg7    ;
; -2.064 ; 0.500        ; 2.564          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a5~porta_address_reg8    ;
; -2.064 ; 0.500        ; 2.564          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a5~porta_address_reg8    ;
; -2.064 ; 0.500        ; 2.564          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a5~porta_address_reg9    ;
; -2.064 ; 0.500        ; 2.564          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a5~porta_address_reg9    ;
; -2.064 ; 0.500        ; 2.564          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_66l1:auto_generated|altsyncram_pal1:altsyncram1|ram_block2a0~porta_address_reg0  ;
; -2.064 ; 0.500        ; 2.564          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_66l1:auto_generated|altsyncram_pal1:altsyncram1|ram_block2a0~porta_address_reg0  ;
; -2.064 ; 0.500        ; 2.564          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_66l1:auto_generated|altsyncram_pal1:altsyncram1|ram_block2a0~porta_address_reg1  ;
; -2.064 ; 0.500        ; 2.564          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_66l1:auto_generated|altsyncram_pal1:altsyncram1|ram_block2a0~porta_address_reg1  ;
; -2.064 ; 0.500        ; 2.564          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_66l1:auto_generated|altsyncram_pal1:altsyncram1|ram_block2a0~porta_address_reg10 ;
; -2.064 ; 0.500        ; 2.564          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_66l1:auto_generated|altsyncram_pal1:altsyncram1|ram_block2a0~porta_address_reg10 ;
; -2.064 ; 0.500        ; 2.564          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_66l1:auto_generated|altsyncram_pal1:altsyncram1|ram_block2a0~porta_address_reg11 ;
; -2.064 ; 0.500        ; 2.564          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_66l1:auto_generated|altsyncram_pal1:altsyncram1|ram_block2a0~porta_address_reg11 ;
; -2.064 ; 0.500        ; 2.564          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_66l1:auto_generated|altsyncram_pal1:altsyncram1|ram_block2a0~porta_address_reg2  ;
; -2.064 ; 0.500        ; 2.564          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_66l1:auto_generated|altsyncram_pal1:altsyncram1|ram_block2a0~porta_address_reg2  ;
; -2.064 ; 0.500        ; 2.564          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_66l1:auto_generated|altsyncram_pal1:altsyncram1|ram_block2a0~porta_address_reg3  ;
; -2.064 ; 0.500        ; 2.564          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_66l1:auto_generated|altsyncram_pal1:altsyncram1|ram_block2a0~porta_address_reg3  ;
+--------+--------------+----------------+------------------+---------------------------------+------------+--------------------------------------------------------------------------------------------------------------------------------------------+


+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Slow Model Minimum Pulse Width: 'SW[8]'                                                                                                                                                                                   ;
+--------+--------------+----------------+------------------+-------+------------+------------------------------------------------------------------------------------------------------------------------------------------+
; Slack  ; Actual Width ; Required Width ; Type             ; Clock ; Clock Edge ; Target                                                                                                                                   ;
+--------+--------------+----------------+------------------+-------+------------+------------------------------------------------------------------------------------------------------------------------------------------+
; -2.064 ; 0.500        ; 2.564          ; High Pulse Width ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a0~portb_address_reg0  ;
; -2.064 ; 0.500        ; 2.564          ; Low Pulse Width  ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a0~portb_address_reg0  ;
; -2.064 ; 0.500        ; 2.564          ; High Pulse Width ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a0~portb_address_reg1  ;
; -2.064 ; 0.500        ; 2.564          ; Low Pulse Width  ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a0~portb_address_reg1  ;
; -2.064 ; 0.500        ; 2.564          ; High Pulse Width ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a0~portb_address_reg10 ;
; -2.064 ; 0.500        ; 2.564          ; Low Pulse Width  ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a0~portb_address_reg10 ;
; -2.064 ; 0.500        ; 2.564          ; High Pulse Width ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a0~portb_address_reg2  ;
; -2.064 ; 0.500        ; 2.564          ; Low Pulse Width  ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a0~portb_address_reg2  ;
; -2.064 ; 0.500        ; 2.564          ; High Pulse Width ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a0~portb_address_reg3  ;
; -2.064 ; 0.500        ; 2.564          ; Low Pulse Width  ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a0~portb_address_reg3  ;
; -2.064 ; 0.500        ; 2.564          ; High Pulse Width ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a0~portb_address_reg4  ;
; -2.064 ; 0.500        ; 2.564          ; Low Pulse Width  ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a0~portb_address_reg4  ;
; -2.064 ; 0.500        ; 2.564          ; High Pulse Width ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a0~portb_address_reg5  ;
; -2.064 ; 0.500        ; 2.564          ; Low Pulse Width  ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a0~portb_address_reg5  ;
; -2.064 ; 0.500        ; 2.564          ; High Pulse Width ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a0~portb_address_reg6  ;
; -2.064 ; 0.500        ; 2.564          ; Low Pulse Width  ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a0~portb_address_reg6  ;
; -2.064 ; 0.500        ; 2.564          ; High Pulse Width ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a0~portb_address_reg7  ;
; -2.064 ; 0.500        ; 2.564          ; Low Pulse Width  ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a0~portb_address_reg7  ;
; -2.064 ; 0.500        ; 2.564          ; High Pulse Width ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a0~portb_address_reg8  ;
; -2.064 ; 0.500        ; 2.564          ; Low Pulse Width  ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a0~portb_address_reg8  ;
; -2.064 ; 0.500        ; 2.564          ; High Pulse Width ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a0~portb_address_reg9  ;
; -2.064 ; 0.500        ; 2.564          ; Low Pulse Width  ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a0~portb_address_reg9  ;
; -2.064 ; 0.500        ; 2.564          ; High Pulse Width ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a0~portb_datain_reg0   ;
; -2.064 ; 0.500        ; 2.564          ; Low Pulse Width  ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a0~portb_datain_reg0   ;
; -2.064 ; 0.500        ; 2.564          ; High Pulse Width ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a0~portb_datain_reg1   ;
; -2.064 ; 0.500        ; 2.564          ; Low Pulse Width  ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a0~portb_datain_reg1   ;
; -2.064 ; 0.500        ; 2.564          ; High Pulse Width ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a0~portb_memory_reg0   ;
; -2.064 ; 0.500        ; 2.564          ; Low Pulse Width  ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a0~portb_memory_reg0   ;
; -2.064 ; 0.500        ; 2.564          ; High Pulse Width ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a0~portb_we_reg        ;
; -2.064 ; 0.500        ; 2.564          ; Low Pulse Width  ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a0~portb_we_reg        ;
; -2.064 ; 0.500        ; 2.564          ; High Pulse Width ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a1~portb_address_reg0  ;
; -2.064 ; 0.500        ; 2.564          ; Low Pulse Width  ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a1~portb_address_reg0  ;
; -2.064 ; 0.500        ; 2.564          ; High Pulse Width ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a1~portb_address_reg1  ;
; -2.064 ; 0.500        ; 2.564          ; Low Pulse Width  ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a1~portb_address_reg1  ;
; -2.064 ; 0.500        ; 2.564          ; High Pulse Width ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a1~portb_address_reg10 ;
; -2.064 ; 0.500        ; 2.564          ; Low Pulse Width  ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a1~portb_address_reg10 ;
; -2.064 ; 0.500        ; 2.564          ; High Pulse Width ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a1~portb_address_reg2  ;
; -2.064 ; 0.500        ; 2.564          ; Low Pulse Width  ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a1~portb_address_reg2  ;
; -2.064 ; 0.500        ; 2.564          ; High Pulse Width ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a1~portb_address_reg3  ;
; -2.064 ; 0.500        ; 2.564          ; Low Pulse Width  ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a1~portb_address_reg3  ;
; -2.064 ; 0.500        ; 2.564          ; High Pulse Width ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a1~portb_address_reg4  ;
; -2.064 ; 0.500        ; 2.564          ; Low Pulse Width  ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a1~portb_address_reg4  ;
; -2.064 ; 0.500        ; 2.564          ; High Pulse Width ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a1~portb_address_reg5  ;
; -2.064 ; 0.500        ; 2.564          ; Low Pulse Width  ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a1~portb_address_reg5  ;
; -2.064 ; 0.500        ; 2.564          ; High Pulse Width ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a1~portb_address_reg6  ;
; -2.064 ; 0.500        ; 2.564          ; Low Pulse Width  ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a1~portb_address_reg6  ;
; -2.064 ; 0.500        ; 2.564          ; High Pulse Width ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a1~portb_address_reg7  ;
; -2.064 ; 0.500        ; 2.564          ; Low Pulse Width  ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a1~portb_address_reg7  ;
; -2.064 ; 0.500        ; 2.564          ; High Pulse Width ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a1~portb_address_reg8  ;
; -2.064 ; 0.500        ; 2.564          ; Low Pulse Width  ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a1~portb_address_reg8  ;
; -2.064 ; 0.500        ; 2.564          ; High Pulse Width ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a1~portb_address_reg9  ;
; -2.064 ; 0.500        ; 2.564          ; Low Pulse Width  ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a1~portb_address_reg9  ;
; -2.064 ; 0.500        ; 2.564          ; High Pulse Width ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a1~portb_datain_reg0   ;
; -2.064 ; 0.500        ; 2.564          ; Low Pulse Width  ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a1~portb_datain_reg0   ;
; -2.064 ; 0.500        ; 2.564          ; High Pulse Width ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a1~portb_datain_reg1   ;
; -2.064 ; 0.500        ; 2.564          ; Low Pulse Width  ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a1~portb_datain_reg1   ;
; -2.064 ; 0.500        ; 2.564          ; High Pulse Width ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a1~portb_memory_reg0   ;
; -2.064 ; 0.500        ; 2.564          ; Low Pulse Width  ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a1~portb_memory_reg0   ;
; -2.064 ; 0.500        ; 2.564          ; High Pulse Width ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a1~portb_we_reg        ;
; -2.064 ; 0.500        ; 2.564          ; Low Pulse Width  ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a1~portb_we_reg        ;
; -2.064 ; 0.500        ; 2.564          ; High Pulse Width ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a2~portb_memory_reg0   ;
; -2.064 ; 0.500        ; 2.564          ; Low Pulse Width  ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a2~portb_memory_reg0   ;
; -2.064 ; 0.500        ; 2.564          ; High Pulse Width ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a3~portb_memory_reg0   ;
; -2.064 ; 0.500        ; 2.564          ; Low Pulse Width  ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a3~portb_memory_reg0   ;
; -2.064 ; 0.500        ; 2.564          ; High Pulse Width ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a4~portb_address_reg0  ;
; -2.064 ; 0.500        ; 2.564          ; Low Pulse Width  ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a4~portb_address_reg0  ;
; -2.064 ; 0.500        ; 2.564          ; High Pulse Width ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a4~portb_address_reg1  ;
; -2.064 ; 0.500        ; 2.564          ; Low Pulse Width  ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a4~portb_address_reg1  ;
; -2.064 ; 0.500        ; 2.564          ; High Pulse Width ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a4~portb_address_reg10 ;
; -2.064 ; 0.500        ; 2.564          ; Low Pulse Width  ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a4~portb_address_reg10 ;
; -2.064 ; 0.500        ; 2.564          ; High Pulse Width ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a4~portb_address_reg2  ;
; -2.064 ; 0.500        ; 2.564          ; Low Pulse Width  ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a4~portb_address_reg2  ;
; -2.064 ; 0.500        ; 2.564          ; High Pulse Width ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a4~portb_address_reg3  ;
; -2.064 ; 0.500        ; 2.564          ; Low Pulse Width  ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a4~portb_address_reg3  ;
; -2.064 ; 0.500        ; 2.564          ; High Pulse Width ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a4~portb_address_reg4  ;
; -2.064 ; 0.500        ; 2.564          ; Low Pulse Width  ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a4~portb_address_reg4  ;
; -2.064 ; 0.500        ; 2.564          ; High Pulse Width ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a4~portb_address_reg5  ;
; -2.064 ; 0.500        ; 2.564          ; Low Pulse Width  ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a4~portb_address_reg5  ;
; -2.064 ; 0.500        ; 2.564          ; High Pulse Width ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a4~portb_address_reg6  ;
; -2.064 ; 0.500        ; 2.564          ; Low Pulse Width  ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a4~portb_address_reg6  ;
; -2.064 ; 0.500        ; 2.564          ; High Pulse Width ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a4~portb_address_reg7  ;
; -2.064 ; 0.500        ; 2.564          ; Low Pulse Width  ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a4~portb_address_reg7  ;
; -2.064 ; 0.500        ; 2.564          ; High Pulse Width ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a4~portb_address_reg8  ;
; -2.064 ; 0.500        ; 2.564          ; Low Pulse Width  ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a4~portb_address_reg8  ;
; -2.064 ; 0.500        ; 2.564          ; High Pulse Width ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a4~portb_address_reg9  ;
; -2.064 ; 0.500        ; 2.564          ; Low Pulse Width  ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a4~portb_address_reg9  ;
; -2.064 ; 0.500        ; 2.564          ; High Pulse Width ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a4~portb_datain_reg0   ;
; -2.064 ; 0.500        ; 2.564          ; Low Pulse Width  ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a4~portb_datain_reg0   ;
; -2.064 ; 0.500        ; 2.564          ; High Pulse Width ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a4~portb_datain_reg1   ;
; -2.064 ; 0.500        ; 2.564          ; Low Pulse Width  ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a4~portb_datain_reg1   ;
; -2.064 ; 0.500        ; 2.564          ; High Pulse Width ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a4~portb_memory_reg0   ;
; -2.064 ; 0.500        ; 2.564          ; Low Pulse Width  ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a4~portb_memory_reg0   ;
; -2.064 ; 0.500        ; 2.564          ; High Pulse Width ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a4~portb_we_reg        ;
; -2.064 ; 0.500        ; 2.564          ; Low Pulse Width  ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a4~portb_we_reg        ;
; -2.064 ; 0.500        ; 2.564          ; High Pulse Width ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a5~portb_address_reg0  ;
; -2.064 ; 0.500        ; 2.564          ; Low Pulse Width  ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a5~portb_address_reg0  ;
; -2.064 ; 0.500        ; 2.564          ; High Pulse Width ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a5~portb_address_reg1  ;
; -2.064 ; 0.500        ; 2.564          ; Low Pulse Width  ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a5~portb_address_reg1  ;
; -2.064 ; 0.500        ; 2.564          ; High Pulse Width ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a5~portb_address_reg10 ;
; -2.064 ; 0.500        ; 2.564          ; Low Pulse Width  ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a5~portb_address_reg10 ;
+--------+--------------+----------------+------------------+-------+------------+------------------------------------------------------------------------------------------------------------------------------------------+


+-------------------------------------------------------------------------------------------------------------------------------------------------+
; Slow Model Minimum Pulse Width: 'CLOCK_50'                                                                                                      ;
+--------+--------------+----------------+------------------+----------+------------+-------------------------------------------------------------+
; Slack  ; Actual Width ; Required Width ; Type             ; Clock    ; Clock Edge ; Target                                                      ;
+--------+--------------+----------------+------------------+----------+------------+-------------------------------------------------------------+
; -1.631 ; 1.000        ; 2.631          ; Port Rate        ; CLOCK_50 ; Rise       ; CLOCK_50                                                    ;
; -0.611 ; 0.500        ; 1.111          ; High Pulse Width ; CLOCK_50 ; Rise       ; \random:rand_temp[0]                                        ;
; -0.611 ; 0.500        ; 1.111          ; Low Pulse Width  ; CLOCK_50 ; Rise       ; \random:rand_temp[0]                                        ;
; -0.611 ; 0.500        ; 1.111          ; High Pulse Width ; CLOCK_50 ; Rise       ; \random:rand_temp[10]                                       ;
; -0.611 ; 0.500        ; 1.111          ; Low Pulse Width  ; CLOCK_50 ; Rise       ; \random:rand_temp[10]                                       ;
; -0.611 ; 0.500        ; 1.111          ; High Pulse Width ; CLOCK_50 ; Rise       ; \random:rand_temp[11]                                       ;
; -0.611 ; 0.500        ; 1.111          ; Low Pulse Width  ; CLOCK_50 ; Rise       ; \random:rand_temp[11]                                       ;
; -0.611 ; 0.500        ; 1.111          ; High Pulse Width ; CLOCK_50 ; Rise       ; \random:rand_temp[12]                                       ;
; -0.611 ; 0.500        ; 1.111          ; Low Pulse Width  ; CLOCK_50 ; Rise       ; \random:rand_temp[12]                                       ;
; -0.611 ; 0.500        ; 1.111          ; High Pulse Width ; CLOCK_50 ; Rise       ; \random:rand_temp[13]                                       ;
; -0.611 ; 0.500        ; 1.111          ; Low Pulse Width  ; CLOCK_50 ; Rise       ; \random:rand_temp[13]                                       ;
; -0.611 ; 0.500        ; 1.111          ; High Pulse Width ; CLOCK_50 ; Rise       ; \random:rand_temp[14]                                       ;
; -0.611 ; 0.500        ; 1.111          ; Low Pulse Width  ; CLOCK_50 ; Rise       ; \random:rand_temp[14]                                       ;
; -0.611 ; 0.500        ; 1.111          ; High Pulse Width ; CLOCK_50 ; Rise       ; \random:rand_temp[15]                                       ;
; -0.611 ; 0.500        ; 1.111          ; Low Pulse Width  ; CLOCK_50 ; Rise       ; \random:rand_temp[15]                                       ;
; -0.611 ; 0.500        ; 1.111          ; High Pulse Width ; CLOCK_50 ; Rise       ; \random:rand_temp[1]                                        ;
; -0.611 ; 0.500        ; 1.111          ; Low Pulse Width  ; CLOCK_50 ; Rise       ; \random:rand_temp[1]                                        ;
; -0.611 ; 0.500        ; 1.111          ; High Pulse Width ; CLOCK_50 ; Rise       ; \random:rand_temp[2]                                        ;
; -0.611 ; 0.500        ; 1.111          ; Low Pulse Width  ; CLOCK_50 ; Rise       ; \random:rand_temp[2]                                        ;
; -0.611 ; 0.500        ; 1.111          ; High Pulse Width ; CLOCK_50 ; Rise       ; \random:rand_temp[3]                                        ;
; -0.611 ; 0.500        ; 1.111          ; Low Pulse Width  ; CLOCK_50 ; Rise       ; \random:rand_temp[3]                                        ;
; -0.611 ; 0.500        ; 1.111          ; High Pulse Width ; CLOCK_50 ; Rise       ; \random:rand_temp[4]                                        ;
; -0.611 ; 0.500        ; 1.111          ; Low Pulse Width  ; CLOCK_50 ; Rise       ; \random:rand_temp[4]                                        ;
; -0.611 ; 0.500        ; 1.111          ; High Pulse Width ; CLOCK_50 ; Rise       ; \random:rand_temp[5]                                        ;
; -0.611 ; 0.500        ; 1.111          ; Low Pulse Width  ; CLOCK_50 ; Rise       ; \random:rand_temp[5]                                        ;
; -0.611 ; 0.500        ; 1.111          ; High Pulse Width ; CLOCK_50 ; Rise       ; \random:rand_temp[6]                                        ;
; -0.611 ; 0.500        ; 1.111          ; Low Pulse Width  ; CLOCK_50 ; Rise       ; \random:rand_temp[6]                                        ;
; -0.611 ; 0.500        ; 1.111          ; High Pulse Width ; CLOCK_50 ; Rise       ; \random:rand_temp[7]                                        ;
; -0.611 ; 0.500        ; 1.111          ; Low Pulse Width  ; CLOCK_50 ; Rise       ; \random:rand_temp[7]                                        ;
; -0.611 ; 0.500        ; 1.111          ; High Pulse Width ; CLOCK_50 ; Rise       ; \random:rand_temp[8]                                        ;
; -0.611 ; 0.500        ; 1.111          ; Low Pulse Width  ; CLOCK_50 ; Rise       ; \random:rand_temp[8]                                        ;
; -0.611 ; 0.500        ; 1.111          ; High Pulse Width ; CLOCK_50 ; Rise       ; \random:rand_temp[9]                                        ;
; -0.611 ; 0.500        ; 1.111          ; Low Pulse Width  ; CLOCK_50 ; Rise       ; \random:rand_temp[9]                                        ;
; -0.611 ; 0.500        ; 1.111          ; High Pulse Width ; CLOCK_50 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz                             ;
; -0.611 ; 0.500        ; 1.111          ; Low Pulse Width  ; CLOCK_50 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz                             ;
; -0.611 ; 0.500        ; 1.111          ; High Pulse Width ; CLOCK_50 ; Rise       ; clk_div:clkdiv_inst|clock_10MHz                             ;
; -0.611 ; 0.500        ; 1.111          ; Low Pulse Width  ; CLOCK_50 ; Rise       ; clk_div:clkdiv_inst|clock_10MHz                             ;
; -0.611 ; 0.500        ; 1.111          ; High Pulse Width ; CLOCK_50 ; Rise       ; clk_div:clkdiv_inst|clock_10Mhz_int                         ;
; -0.611 ; 0.500        ; 1.111          ; Low Pulse Width  ; CLOCK_50 ; Rise       ; clk_div:clkdiv_inst|clock_10Mhz_int                         ;
; -0.611 ; 0.500        ; 1.111          ; High Pulse Width ; CLOCK_50 ; Rise       ; clk_div:clkdiv_inst|clock_25MHz                             ;
; -0.611 ; 0.500        ; 1.111          ; Low Pulse Width  ; CLOCK_50 ; Rise       ; clk_div:clkdiv_inst|clock_25MHz                             ;
; -0.611 ; 0.500        ; 1.111          ; High Pulse Width ; CLOCK_50 ; Rise       ; clk_div:clkdiv_inst|clock_25Mhz_int                         ;
; -0.611 ; 0.500        ; 1.111          ; Low Pulse Width  ; CLOCK_50 ; Rise       ; clk_div:clkdiv_inst|clock_25Mhz_int                         ;
; -0.611 ; 0.500        ; 1.111          ; High Pulse Width ; CLOCK_50 ; Rise       ; clk_div:clkdiv_inst|clock_357Mhz                            ;
; -0.611 ; 0.500        ; 1.111          ; Low Pulse Width  ; CLOCK_50 ; Rise       ; clk_div:clkdiv_inst|clock_357Mhz                            ;
; -0.611 ; 0.500        ; 1.111          ; High Pulse Width ; CLOCK_50 ; Rise       ; clk_div:clkdiv_inst|clock_357Mhz_int                        ;
; -0.611 ; 0.500        ; 1.111          ; Low Pulse Width  ; CLOCK_50 ; Rise       ; clk_div:clkdiv_inst|clock_357Mhz_int                        ;
; -0.611 ; 0.500        ; 1.111          ; High Pulse Width ; CLOCK_50 ; Rise       ; clk_div:clkdiv_inst|count_10Mhz[0]                          ;
; -0.611 ; 0.500        ; 1.111          ; Low Pulse Width  ; CLOCK_50 ; Rise       ; clk_div:clkdiv_inst|count_10Mhz[0]                          ;
; -0.611 ; 0.500        ; 1.111          ; High Pulse Width ; CLOCK_50 ; Rise       ; clk_div:clkdiv_inst|count_10Mhz[1]                          ;
; -0.611 ; 0.500        ; 1.111          ; Low Pulse Width  ; CLOCK_50 ; Rise       ; clk_div:clkdiv_inst|count_10Mhz[1]                          ;
; -0.611 ; 0.500        ; 1.111          ; High Pulse Width ; CLOCK_50 ; Rise       ; clk_div:clkdiv_inst|count_10Mhz[2]                          ;
; -0.611 ; 0.500        ; 1.111          ; Low Pulse Width  ; CLOCK_50 ; Rise       ; clk_div:clkdiv_inst|count_10Mhz[2]                          ;
; -0.611 ; 0.500        ; 1.111          ; High Pulse Width ; CLOCK_50 ; Rise       ; clk_div:clkdiv_inst|count_357Mhz[0]                         ;
; -0.611 ; 0.500        ; 1.111          ; Low Pulse Width  ; CLOCK_50 ; Rise       ; clk_div:clkdiv_inst|count_357Mhz[0]                         ;
; -0.611 ; 0.500        ; 1.111          ; High Pulse Width ; CLOCK_50 ; Rise       ; clk_div:clkdiv_inst|count_357Mhz[1]                         ;
; -0.611 ; 0.500        ; 1.111          ; Low Pulse Width  ; CLOCK_50 ; Rise       ; clk_div:clkdiv_inst|count_357Mhz[1]                         ;
; -0.611 ; 0.500        ; 1.111          ; High Pulse Width ; CLOCK_50 ; Rise       ; clk_div:clkdiv_inst|count_357Mhz[2]                         ;
; -0.611 ; 0.500        ; 1.111          ; Low Pulse Width  ; CLOCK_50 ; Rise       ; clk_div:clkdiv_inst|count_357Mhz[2]                         ;
; -0.611 ; 0.500        ; 1.111          ; High Pulse Width ; CLOCK_50 ; Rise       ; clk_div:clkdiv_inst|count_357Mhz[3]                         ;
; -0.611 ; 0.500        ; 1.111          ; Low Pulse Width  ; CLOCK_50 ; Rise       ; clk_div:clkdiv_inst|count_357Mhz[3]                         ;
; -0.611 ; 0.500        ; 1.111          ; High Pulse Width ; CLOCK_50 ; Rise       ; ps2_ascii_reg1[0]                                           ;
; -0.611 ; 0.500        ; 1.111          ; Low Pulse Width  ; CLOCK_50 ; Rise       ; ps2_ascii_reg1[0]                                           ;
; -0.611 ; 0.500        ; 1.111          ; High Pulse Width ; CLOCK_50 ; Rise       ; ps2_ascii_reg1[1]                                           ;
; -0.611 ; 0.500        ; 1.111          ; Low Pulse Width  ; CLOCK_50 ; Rise       ; ps2_ascii_reg1[1]                                           ;
; -0.611 ; 0.500        ; 1.111          ; High Pulse Width ; CLOCK_50 ; Rise       ; ps2_ascii_reg1[2]                                           ;
; -0.611 ; 0.500        ; 1.111          ; Low Pulse Width  ; CLOCK_50 ; Rise       ; ps2_ascii_reg1[2]                                           ;
; -0.611 ; 0.500        ; 1.111          ; High Pulse Width ; CLOCK_50 ; Rise       ; ps2_ascii_reg1[3]                                           ;
; -0.611 ; 0.500        ; 1.111          ; Low Pulse Width  ; CLOCK_50 ; Rise       ; ps2_ascii_reg1[3]                                           ;
; -0.611 ; 0.500        ; 1.111          ; High Pulse Width ; CLOCK_50 ; Rise       ; ps2_ascii_reg1[4]                                           ;
; -0.611 ; 0.500        ; 1.111          ; Low Pulse Width  ; CLOCK_50 ; Rise       ; ps2_ascii_reg1[4]                                           ;
; -0.611 ; 0.500        ; 1.111          ; High Pulse Width ; CLOCK_50 ; Rise       ; ps2_ascii_reg1[5]                                           ;
; -0.611 ; 0.500        ; 1.111          ; Low Pulse Width  ; CLOCK_50 ; Rise       ; ps2_ascii_reg1[5]                                           ;
; -0.611 ; 0.500        ; 1.111          ; High Pulse Width ; CLOCK_50 ; Rise       ; ps2_ascii_reg1[6]                                           ;
; -0.611 ; 0.500        ; 1.111          ; Low Pulse Width  ; CLOCK_50 ; Rise       ; ps2_ascii_reg1[6]                                           ;
; -0.611 ; 0.500        ; 1.111          ; High Pulse Width ; CLOCK_50 ; Rise       ; ps2_ascii_reg1[7]                                           ;
; -0.611 ; 0.500        ; 1.111          ; Low Pulse Width  ; CLOCK_50 ; Rise       ; ps2_ascii_reg1[7]                                           ;
; -0.611 ; 0.500        ; 1.111          ; High Pulse Width ; CLOCK_50 ; Rise       ; ps2_read                                                    ;
; -0.611 ; 0.500        ; 1.111          ; Low Pulse Width  ; CLOCK_50 ; Rise       ; ps2_read                                                    ;
; -0.611 ; 0.500        ; 1.111          ; High Pulse Width ; CLOCK_50 ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|filter[0]             ;
; -0.611 ; 0.500        ; 1.111          ; Low Pulse Width  ; CLOCK_50 ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|filter[0]             ;
; -0.611 ; 0.500        ; 1.111          ; High Pulse Width ; CLOCK_50 ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|filter[1]             ;
; -0.611 ; 0.500        ; 1.111          ; Low Pulse Width  ; CLOCK_50 ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|filter[1]             ;
; -0.611 ; 0.500        ; 1.111          ; High Pulse Width ; CLOCK_50 ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|filter[2]             ;
; -0.611 ; 0.500        ; 1.111          ; Low Pulse Width  ; CLOCK_50 ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|filter[2]             ;
; -0.611 ; 0.500        ; 1.111          ; High Pulse Width ; CLOCK_50 ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|filter[3]             ;
; -0.611 ; 0.500        ; 1.111          ; Low Pulse Width  ; CLOCK_50 ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|filter[3]             ;
; -0.611 ; 0.500        ; 1.111          ; High Pulse Width ; CLOCK_50 ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|filter[4]             ;
; -0.611 ; 0.500        ; 1.111          ; Low Pulse Width  ; CLOCK_50 ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|filter[4]             ;
; -0.611 ; 0.500        ; 1.111          ; High Pulse Width ; CLOCK_50 ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|filter[5]             ;
; -0.611 ; 0.500        ; 1.111          ; Low Pulse Width  ; CLOCK_50 ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|filter[5]             ;
; -0.611 ; 0.500        ; 1.111          ; High Pulse Width ; CLOCK_50 ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|filter[6]             ;
; -0.611 ; 0.500        ; 1.111          ; Low Pulse Width  ; CLOCK_50 ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|filter[6]             ;
; -0.611 ; 0.500        ; 1.111          ; High Pulse Width ; CLOCK_50 ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|filter[7]             ;
; -0.611 ; 0.500        ; 1.111          ; Low Pulse Width  ; CLOCK_50 ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|filter[7]             ;
; -0.611 ; 0.500        ; 1.111          ; High Pulse Width ; CLOCK_50 ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ;
; -0.611 ; 0.500        ; 1.111          ; Low Pulse Width  ; CLOCK_50 ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ;
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; CLOCK_50 ; Rise       ; CLOCK_50|combout                                            ;
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; CLOCK_50 ; Rise       ; CLOCK_50|combout                                            ;
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; CLOCK_50 ; Rise       ; CLOCK_50~clkctrl|inclk[0]                                   ;
+--------+--------------+----------------+------------------+----------+------------+-------------------------------------------------------------+


+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Slow Model Minimum Pulse Width: 'ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered'                                                                                                       ;
+--------+--------------+----------------+------------------+-------------------------------------------------------------+------------+--------------------------------------------------------------+
; Slack  ; Actual Width ; Required Width ; Type             ; Clock                                                       ; Clock Edge ; Target                                                       ;
+--------+--------------+----------------+------------------+-------------------------------------------------------------+------------+--------------------------------------------------------------+
; -0.611 ; 0.500        ; 1.111          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]               ;
; -0.611 ; 0.500        ; 1.111          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]               ;
; -0.611 ; 0.500        ; 1.111          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]               ;
; -0.611 ; 0.500        ; 1.111          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]               ;
; -0.611 ; 0.500        ; 1.111          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]               ;
; -0.611 ; 0.500        ; 1.111          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]               ;
; -0.611 ; 0.500        ; 1.111          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]               ;
; -0.611 ; 0.500        ; 1.111          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]               ;
; -0.611 ; 0.500        ; 1.111          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR              ;
; -0.611 ; 0.500        ; 1.111          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR              ;
; -0.611 ; 0.500        ; 1.111          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[0]             ;
; -0.611 ; 0.500        ; 1.111          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[0]             ;
; -0.611 ; 0.500        ; 1.111          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[1]             ;
; -0.611 ; 0.500        ; 1.111          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[1]             ;
; -0.611 ; 0.500        ; 1.111          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[2]             ;
; -0.611 ; 0.500        ; 1.111          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[2]             ;
; -0.611 ; 0.500        ; 1.111          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[3]             ;
; -0.611 ; 0.500        ; 1.111          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[3]             ;
; -0.611 ; 0.500        ; 1.111          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[4]             ;
; -0.611 ; 0.500        ; 1.111          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[4]             ;
; -0.611 ; 0.500        ; 1.111          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[5]             ;
; -0.611 ; 0.500        ; 1.111          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[5]             ;
; -0.611 ; 0.500        ; 1.111          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[6]             ;
; -0.611 ; 0.500        ; 1.111          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[6]             ;
; -0.611 ; 0.500        ; 1.111          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[7]             ;
; -0.611 ; 0.500        ; 1.111          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[7]             ;
; -0.611 ; 0.500        ; 1.111          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[8]             ;
; -0.611 ; 0.500        ; 1.111          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[8]             ;
; -0.611 ; 0.500        ; 1.111          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set              ;
; -0.611 ; 0.500        ; 1.111          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set              ;
; -0.611 ; 0.500        ; 1.111          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[0]           ;
; -0.611 ; 0.500        ; 1.111          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[0]           ;
; -0.611 ; 0.500        ; 1.111          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[1]           ;
; -0.611 ; 0.500        ; 1.111          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[1]           ;
; -0.611 ; 0.500        ; 1.111          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[2]           ;
; -0.611 ; 0.500        ; 1.111          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[2]           ;
; -0.611 ; 0.500        ; 1.111          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[3]           ;
; -0.611 ; 0.500        ; 1.111          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[3]           ;
; -0.611 ; 0.500        ; 1.111          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[4]           ;
; -0.611 ; 0.500        ; 1.111          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[4]           ;
; -0.611 ; 0.500        ; 1.111          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[5]           ;
; -0.611 ; 0.500        ; 1.111          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[5]           ;
; -0.611 ; 0.500        ; 1.111          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[6]           ;
; -0.611 ; 0.500        ; 1.111          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[6]           ;
; -0.611 ; 0.500        ; 1.111          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[7]           ;
; -0.611 ; 0.500        ; 1.111          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[7]           ;
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|INCNT[0]|clk                           ;
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|INCNT[0]|clk                           ;
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|INCNT[1]|clk                           ;
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|INCNT[1]|clk                           ;
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|INCNT[2]|clk                           ;
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|INCNT[2]|clk                           ;
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|INCNT[3]|clk                           ;
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|INCNT[3]|clk                           ;
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|READ_CHAR|clk                          ;
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|READ_CHAR|clk                          ;
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|SHIFTIN[0]|clk                         ;
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|SHIFTIN[0]|clk                         ;
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|SHIFTIN[1]|clk                         ;
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|SHIFTIN[1]|clk                         ;
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|SHIFTIN[2]|clk                         ;
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|SHIFTIN[2]|clk                         ;
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|SHIFTIN[3]|clk                         ;
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|SHIFTIN[3]|clk                         ;
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|SHIFTIN[4]|clk                         ;
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|SHIFTIN[4]|clk                         ;
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|SHIFTIN[5]|clk                         ;
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|SHIFTIN[5]|clk                         ;
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|SHIFTIN[6]|clk                         ;
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|SHIFTIN[6]|clk                         ;
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|SHIFTIN[7]|clk                         ;
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|SHIFTIN[7]|clk                         ;
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|SHIFTIN[8]|clk                         ;
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|SHIFTIN[8]|clk                         ;
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|keyboard_clk_filtered|regout           ;
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|keyboard_clk_filtered|regout           ;
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|keyboard_clk_filtered~clkctrl|inclk[0] ;
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|keyboard_clk_filtered~clkctrl|inclk[0] ;
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|keyboard_clk_filtered~clkctrl|outclk   ;
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|keyboard_clk_filtered~clkctrl|outclk   ;
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|ready_set|clk                          ;
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|ready_set|clk                          ;
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|scan_code[0]|clk                       ;
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|scan_code[0]|clk                       ;
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|scan_code[1]|clk                       ;
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|scan_code[1]|clk                       ;
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|scan_code[2]|clk                       ;
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|scan_code[2]|clk                       ;
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|scan_code[3]|clk                       ;
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|scan_code[3]|clk                       ;
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|scan_code[4]|clk                       ;
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|scan_code[4]|clk                       ;
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|scan_code[5]|clk                       ;
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|scan_code[5]|clk                       ;
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|scan_code[6]|clk                       ;
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|scan_code[6]|clk                       ;
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|scan_code[7]|clk                       ;
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|scan_code[7]|clk                       ;
+--------+--------------+----------------+------------------+-------------------------------------------------------------+------------+--------------------------------------------------------------+


+-------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Slow Model Minimum Pulse Width: 'clk_div:clkdiv_inst|clock_25Mhz_int'                                                                                       ;
+--------+--------------+----------------+------------------+-------------------------------------+------------+----------------------------------------------+
; Slack  ; Actual Width ; Required Width ; Type             ; Clock                               ; Clock Edge ; Target                                       ;
+--------+--------------+----------------+------------------+-------------------------------------+------------+----------------------------------------------+
; -0.611 ; 0.500        ; 1.111          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clk_div:clkdiv_inst|clock_1Mhz_int           ;
; -0.611 ; 0.500        ; 1.111          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clk_div:clkdiv_inst|clock_1Mhz_int           ;
; -0.611 ; 0.500        ; 1.111          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clk_div:clkdiv_inst|count_1Mhz[0]            ;
; -0.611 ; 0.500        ; 1.111          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clk_div:clkdiv_inst|count_1Mhz[0]            ;
; -0.611 ; 0.500        ; 1.111          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clk_div:clkdiv_inst|count_1Mhz[1]            ;
; -0.611 ; 0.500        ; 1.111          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clk_div:clkdiv_inst|count_1Mhz[1]            ;
; -0.611 ; 0.500        ; 1.111          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clk_div:clkdiv_inst|count_1Mhz[2]            ;
; -0.611 ; 0.500        ; 1.111          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clk_div:clkdiv_inst|count_1Mhz[2]            ;
; -0.611 ; 0.500        ; 1.111          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clk_div:clkdiv_inst|count_1Mhz[3]            ;
; -0.611 ; 0.500        ; 1.111          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clk_div:clkdiv_inst|count_1Mhz[3]            ;
; -0.611 ; 0.500        ; 1.111          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clk_div:clkdiv_inst|count_1Mhz[4]            ;
; -0.611 ; 0.500        ; 1.111          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clk_div:clkdiv_inst|count_1Mhz[4]            ;
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clkdiv_inst|clock_1Mhz_int|clk               ;
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clkdiv_inst|clock_1Mhz_int|clk               ;
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clkdiv_inst|clock_25Mhz_int|regout           ;
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clkdiv_inst|clock_25Mhz_int|regout           ;
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clkdiv_inst|clock_25Mhz_int~clkctrl|inclk[0] ;
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clkdiv_inst|clock_25Mhz_int~clkctrl|inclk[0] ;
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clkdiv_inst|clock_25Mhz_int~clkctrl|outclk   ;
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clkdiv_inst|clock_25Mhz_int~clkctrl|outclk   ;
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clkdiv_inst|count_1Mhz[0]|clk                ;
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clkdiv_inst|count_1Mhz[0]|clk                ;
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clkdiv_inst|count_1Mhz[1]|clk                ;
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clkdiv_inst|count_1Mhz[1]|clk                ;
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clkdiv_inst|count_1Mhz[2]|clk                ;
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clkdiv_inst|count_1Mhz[2]|clk                ;
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clkdiv_inst|count_1Mhz[3]|clk                ;
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clkdiv_inst|count_1Mhz[3]|clk                ;
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clkdiv_inst|count_1Mhz[4]|clk                ;
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clkdiv_inst|count_1Mhz[4]|clk                ;
+--------+--------------+----------------+------------------+-------------------------------------+------------+----------------------------------------------+


+---------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Slow Model Minimum Pulse Width: 'clk_div:clkdiv_inst|clock_100Khz_int'                                                                                        ;
+--------+--------------+----------------+------------------+--------------------------------------+------------+-----------------------------------------------+
; Slack  ; Actual Width ; Required Width ; Type             ; Clock                                ; Clock Edge ; Target                                        ;
+--------+--------------+----------------+------------------+--------------------------------------+------------+-----------------------------------------------+
; -0.611 ; 0.500        ; 1.111          ; High Pulse Width ; clk_div:clkdiv_inst|clock_100Khz_int ; Rise       ; clk_div:clkdiv_inst|clock_10Khz_int           ;
; -0.611 ; 0.500        ; 1.111          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_100Khz_int ; Rise       ; clk_div:clkdiv_inst|clock_10Khz_int           ;
; -0.611 ; 0.500        ; 1.111          ; High Pulse Width ; clk_div:clkdiv_inst|clock_100Khz_int ; Rise       ; clk_div:clkdiv_inst|count_10Khz[0]            ;
; -0.611 ; 0.500        ; 1.111          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_100Khz_int ; Rise       ; clk_div:clkdiv_inst|count_10Khz[0]            ;
; -0.611 ; 0.500        ; 1.111          ; High Pulse Width ; clk_div:clkdiv_inst|clock_100Khz_int ; Rise       ; clk_div:clkdiv_inst|count_10Khz[1]            ;
; -0.611 ; 0.500        ; 1.111          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_100Khz_int ; Rise       ; clk_div:clkdiv_inst|count_10Khz[1]            ;
; -0.611 ; 0.500        ; 1.111          ; High Pulse Width ; clk_div:clkdiv_inst|clock_100Khz_int ; Rise       ; clk_div:clkdiv_inst|count_10Khz[2]            ;
; -0.611 ; 0.500        ; 1.111          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_100Khz_int ; Rise       ; clk_div:clkdiv_inst|count_10Khz[2]            ;
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_100Khz_int ; Rise       ; clkdiv_inst|clock_100Khz_int|regout           ;
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_100Khz_int ; Rise       ; clkdiv_inst|clock_100Khz_int|regout           ;
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_100Khz_int ; Rise       ; clkdiv_inst|clock_100Khz_int~clkctrl|inclk[0] ;
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_100Khz_int ; Rise       ; clkdiv_inst|clock_100Khz_int~clkctrl|inclk[0] ;
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_100Khz_int ; Rise       ; clkdiv_inst|clock_100Khz_int~clkctrl|outclk   ;
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_100Khz_int ; Rise       ; clkdiv_inst|clock_100Khz_int~clkctrl|outclk   ;
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_100Khz_int ; Rise       ; clkdiv_inst|clock_10Khz_int|clk               ;
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_100Khz_int ; Rise       ; clkdiv_inst|clock_10Khz_int|clk               ;
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_100Khz_int ; Rise       ; clkdiv_inst|count_10Khz[0]|clk                ;
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_100Khz_int ; Rise       ; clkdiv_inst|count_10Khz[0]|clk                ;
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_100Khz_int ; Rise       ; clkdiv_inst|count_10Khz[1]|clk                ;
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_100Khz_int ; Rise       ; clkdiv_inst|count_10Khz[1]|clk                ;
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_100Khz_int ; Rise       ; clkdiv_inst|count_10Khz[2]|clk                ;
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_100Khz_int ; Rise       ; clkdiv_inst|count_10Khz[2]|clk                ;
+--------+--------------+----------------+------------------+--------------------------------------+------------+-----------------------------------------------+


+-------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Slow Model Minimum Pulse Width: 'clk_div:clkdiv_inst|clock_10Khz_int'                                                                                       ;
+--------+--------------+----------------+------------------+-------------------------------------+------------+----------------------------------------------+
; Slack  ; Actual Width ; Required Width ; Type             ; Clock                               ; Clock Edge ; Target                                       ;
+--------+--------------+----------------+------------------+-------------------------------------+------------+----------------------------------------------+
; -0.611 ; 0.500        ; 1.111          ; High Pulse Width ; clk_div:clkdiv_inst|clock_10Khz_int ; Rise       ; clk_div:clkdiv_inst|clock_1Khz_int           ;
; -0.611 ; 0.500        ; 1.111          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_10Khz_int ; Rise       ; clk_div:clkdiv_inst|clock_1Khz_int           ;
; -0.611 ; 0.500        ; 1.111          ; High Pulse Width ; clk_div:clkdiv_inst|clock_10Khz_int ; Rise       ; clk_div:clkdiv_inst|count_1Khz[0]            ;
; -0.611 ; 0.500        ; 1.111          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_10Khz_int ; Rise       ; clk_div:clkdiv_inst|count_1Khz[0]            ;
; -0.611 ; 0.500        ; 1.111          ; High Pulse Width ; clk_div:clkdiv_inst|clock_10Khz_int ; Rise       ; clk_div:clkdiv_inst|count_1Khz[1]            ;
; -0.611 ; 0.500        ; 1.111          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_10Khz_int ; Rise       ; clk_div:clkdiv_inst|count_1Khz[1]            ;
; -0.611 ; 0.500        ; 1.111          ; High Pulse Width ; clk_div:clkdiv_inst|clock_10Khz_int ; Rise       ; clk_div:clkdiv_inst|count_1Khz[2]            ;
; -0.611 ; 0.500        ; 1.111          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_10Khz_int ; Rise       ; clk_div:clkdiv_inst|count_1Khz[2]            ;
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_10Khz_int ; Rise       ; clkdiv_inst|clock_10Khz_int|regout           ;
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_10Khz_int ; Rise       ; clkdiv_inst|clock_10Khz_int|regout           ;
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_10Khz_int ; Rise       ; clkdiv_inst|clock_10Khz_int~clkctrl|inclk[0] ;
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_10Khz_int ; Rise       ; clkdiv_inst|clock_10Khz_int~clkctrl|inclk[0] ;
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_10Khz_int ; Rise       ; clkdiv_inst|clock_10Khz_int~clkctrl|outclk   ;
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_10Khz_int ; Rise       ; clkdiv_inst|clock_10Khz_int~clkctrl|outclk   ;
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_10Khz_int ; Rise       ; clkdiv_inst|clock_1Khz_int|clk               ;
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_10Khz_int ; Rise       ; clkdiv_inst|clock_1Khz_int|clk               ;
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_10Khz_int ; Rise       ; clkdiv_inst|count_1Khz[0]|clk                ;
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_10Khz_int ; Rise       ; clkdiv_inst|count_1Khz[0]|clk                ;
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_10Khz_int ; Rise       ; clkdiv_inst|count_1Khz[1]|clk                ;
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_10Khz_int ; Rise       ; clkdiv_inst|count_1Khz[1]|clk                ;
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_10Khz_int ; Rise       ; clkdiv_inst|count_1Khz[2]|clk                ;
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_10Khz_int ; Rise       ; clkdiv_inst|count_1Khz[2]|clk                ;
+--------+--------------+----------------+------------------+-------------------------------------+------------+----------------------------------------------+


+-----------------------------------------------------------------------------------------------------------------------------------------------------------+
; Slow Model Minimum Pulse Width: 'clk_div:clkdiv_inst|clock_1Khz_int'                                                                                      ;
+--------+--------------+----------------+------------------+------------------------------------+------------+---------------------------------------------+
; Slack  ; Actual Width ; Required Width ; Type             ; Clock                              ; Clock Edge ; Target                                      ;
+--------+--------------+----------------+------------------+------------------------------------+------------+---------------------------------------------+
; -0.611 ; 0.500        ; 1.111          ; High Pulse Width ; clk_div:clkdiv_inst|clock_1Khz_int ; Rise       ; clk_div:clkdiv_inst|clock_100hz_int         ;
; -0.611 ; 0.500        ; 1.111          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_1Khz_int ; Rise       ; clk_div:clkdiv_inst|clock_100hz_int         ;
; -0.611 ; 0.500        ; 1.111          ; High Pulse Width ; clk_div:clkdiv_inst|clock_1Khz_int ; Rise       ; clk_div:clkdiv_inst|count_100hz[0]          ;
; -0.611 ; 0.500        ; 1.111          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_1Khz_int ; Rise       ; clk_div:clkdiv_inst|count_100hz[0]          ;
; -0.611 ; 0.500        ; 1.111          ; High Pulse Width ; clk_div:clkdiv_inst|clock_1Khz_int ; Rise       ; clk_div:clkdiv_inst|count_100hz[1]          ;
; -0.611 ; 0.500        ; 1.111          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_1Khz_int ; Rise       ; clk_div:clkdiv_inst|count_100hz[1]          ;
; -0.611 ; 0.500        ; 1.111          ; High Pulse Width ; clk_div:clkdiv_inst|clock_1Khz_int ; Rise       ; clk_div:clkdiv_inst|count_100hz[2]          ;
; -0.611 ; 0.500        ; 1.111          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_1Khz_int ; Rise       ; clk_div:clkdiv_inst|count_100hz[2]          ;
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_1Khz_int ; Rise       ; clkdiv_inst|clock_100hz_int|clk             ;
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_1Khz_int ; Rise       ; clkdiv_inst|clock_100hz_int|clk             ;
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_1Khz_int ; Rise       ; clkdiv_inst|clock_1Khz_int|regout           ;
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_1Khz_int ; Rise       ; clkdiv_inst|clock_1Khz_int|regout           ;
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_1Khz_int ; Rise       ; clkdiv_inst|clock_1Khz_int~clkctrl|inclk[0] ;
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_1Khz_int ; Rise       ; clkdiv_inst|clock_1Khz_int~clkctrl|inclk[0] ;
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_1Khz_int ; Rise       ; clkdiv_inst|clock_1Khz_int~clkctrl|outclk   ;
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_1Khz_int ; Rise       ; clkdiv_inst|clock_1Khz_int~clkctrl|outclk   ;
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_1Khz_int ; Rise       ; clkdiv_inst|count_100hz[0]|clk              ;
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_1Khz_int ; Rise       ; clkdiv_inst|count_100hz[0]|clk              ;
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_1Khz_int ; Rise       ; clkdiv_inst|count_100hz[1]|clk              ;
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_1Khz_int ; Rise       ; clkdiv_inst|count_100hz[1]|clk              ;
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_1Khz_int ; Rise       ; clkdiv_inst|count_100hz[2]|clk              ;
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_1Khz_int ; Rise       ; clkdiv_inst|count_100hz[2]|clk              ;
+--------+--------------+----------------+------------------+------------------------------------+------------+---------------------------------------------+


+-----------------------------------------------------------------------------------------------------------------------------------------------------------+
; Slow Model Minimum Pulse Width: 'clk_div:clkdiv_inst|clock_1Mhz_int'                                                                                      ;
+--------+--------------+----------------+------------------+------------------------------------+------------+---------------------------------------------+
; Slack  ; Actual Width ; Required Width ; Type             ; Clock                              ; Clock Edge ; Target                                      ;
+--------+--------------+----------------+------------------+------------------------------------+------------+---------------------------------------------+
; -0.611 ; 0.500        ; 1.111          ; High Pulse Width ; clk_div:clkdiv_inst|clock_1Mhz_int ; Rise       ; clk_div:clkdiv_inst|clock_100Khz_int        ;
; -0.611 ; 0.500        ; 1.111          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_1Mhz_int ; Rise       ; clk_div:clkdiv_inst|clock_100Khz_int        ;
; -0.611 ; 0.500        ; 1.111          ; High Pulse Width ; clk_div:clkdiv_inst|clock_1Mhz_int ; Rise       ; clk_div:clkdiv_inst|count_100Khz[0]         ;
; -0.611 ; 0.500        ; 1.111          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_1Mhz_int ; Rise       ; clk_div:clkdiv_inst|count_100Khz[0]         ;
; -0.611 ; 0.500        ; 1.111          ; High Pulse Width ; clk_div:clkdiv_inst|clock_1Mhz_int ; Rise       ; clk_div:clkdiv_inst|count_100Khz[1]         ;
; -0.611 ; 0.500        ; 1.111          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_1Mhz_int ; Rise       ; clk_div:clkdiv_inst|count_100Khz[1]         ;
; -0.611 ; 0.500        ; 1.111          ; High Pulse Width ; clk_div:clkdiv_inst|clock_1Mhz_int ; Rise       ; clk_div:clkdiv_inst|count_100Khz[2]         ;
; -0.611 ; 0.500        ; 1.111          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_1Mhz_int ; Rise       ; clk_div:clkdiv_inst|count_100Khz[2]         ;
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_1Mhz_int ; Rise       ; clkdiv_inst|clock_100Khz_int|clk            ;
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_1Mhz_int ; Rise       ; clkdiv_inst|clock_100Khz_int|clk            ;
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_1Mhz_int ; Rise       ; clkdiv_inst|clock_1Mhz_int|regout           ;
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_1Mhz_int ; Rise       ; clkdiv_inst|clock_1Mhz_int|regout           ;
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_1Mhz_int ; Rise       ; clkdiv_inst|clock_1Mhz_int~clkctrl|inclk[0] ;
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_1Mhz_int ; Rise       ; clkdiv_inst|clock_1Mhz_int~clkctrl|inclk[0] ;
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_1Mhz_int ; Rise       ; clkdiv_inst|clock_1Mhz_int~clkctrl|outclk   ;
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_1Mhz_int ; Rise       ; clkdiv_inst|clock_1Mhz_int~clkctrl|outclk   ;
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_1Mhz_int ; Rise       ; clkdiv_inst|count_100Khz[0]|clk             ;
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_1Mhz_int ; Rise       ; clkdiv_inst|count_100Khz[0]|clk             ;
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_1Mhz_int ; Rise       ; clkdiv_inst|count_100Khz[1]|clk             ;
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_1Mhz_int ; Rise       ; clkdiv_inst|count_100Khz[1]|clk             ;
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_1Mhz_int ; Rise       ; clkdiv_inst|count_100Khz[2]|clk             ;
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_1Mhz_int ; Rise       ; clkdiv_inst|count_100Khz[2]|clk             ;
+--------+--------------+----------------+------------------+------------------------------------+------------+---------------------------------------------+


+-----------------------------------------------------------------------------------------------------------------------------------------------------+
; Slow Model Minimum Pulse Width: 'clk_div:clkdiv_inst|clock_100Hz'                                                                                   ;
+--------+--------------+----------------+------------------+---------------------------------+------------+------------------------------------------+
; Slack  ; Actual Width ; Required Width ; Type             ; Clock                           ; Clock Edge ; Target                                   ;
+--------+--------------+----------------+------------------+---------------------------------+------------+------------------------------------------+
; -0.611 ; 0.500        ; 1.111          ; High Pulse Width ; clk_div:clkdiv_inst|clock_100Hz ; Rise       ; ps2kbd:ps2_kbd_inst|caps[0]              ;
; -0.611 ; 0.500        ; 1.111          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_100Hz ; Rise       ; ps2kbd:ps2_kbd_inst|caps[0]              ;
; -0.611 ; 0.500        ; 1.111          ; High Pulse Width ; clk_div:clkdiv_inst|clock_100Hz ; Rise       ; ps2kbd:ps2_kbd_inst|caps[1]              ;
; -0.611 ; 0.500        ; 1.111          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_100Hz ; Rise       ; ps2kbd:ps2_kbd_inst|caps[1]              ;
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_100Hz ; Rise       ; clkdiv_inst|clock_100Hz|regout           ;
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_100Hz ; Rise       ; clkdiv_inst|clock_100Hz|regout           ;
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_100Hz ; Rise       ; clkdiv_inst|clock_100Hz~clkctrl|inclk[0] ;
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_100Hz ; Rise       ; clkdiv_inst|clock_100Hz~clkctrl|inclk[0] ;
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_100Hz ; Rise       ; clkdiv_inst|clock_100Hz~clkctrl|outclk   ;
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_100Hz ; Rise       ; clkdiv_inst|clock_100Hz~clkctrl|outclk   ;
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_100Hz ; Rise       ; ps2_kbd_inst|caps[0]|clk                 ;
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_100Hz ; Rise       ; ps2_kbd_inst|caps[0]|clk                 ;
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_100Hz ; Rise       ; ps2_kbd_inst|caps[1]|clk                 ;
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_100Hz ; Rise       ; ps2_kbd_inst|caps[1]|clk                 ;
+--------+--------------+----------------+------------------+---------------------------------+------------+------------------------------------------+


+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Slow Model Minimum Pulse Width: 'ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set'                                                                                           ;
+--------+--------------+----------------+------------------+-------------------------------------------------+------------+--------------------------------------------------+
; Slack  ; Actual Width ; Required Width ; Type             ; Clock                                           ; Clock Edge ; Target                                           ;
+--------+--------------+----------------+------------------+-------------------------------------------------+------------+--------------------------------------------------+
; -0.611 ; 0.500        ; 1.111          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_ready ;
; -0.611 ; 0.500        ; 1.111          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_ready ;
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set ; Rise       ; ps2_kbd_inst|kbd_inst|ready_set|regout           ;
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set ; Rise       ; ps2_kbd_inst|kbd_inst|ready_set|regout           ;
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set ; Rise       ; ps2_kbd_inst|kbd_inst|scan_ready|clk             ;
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set ; Rise       ; ps2_kbd_inst|kbd_inst|scan_ready|clk             ;
+--------+--------------+----------------+------------------+-------------------------------------------------+------------+--------------------------------------------------+


+------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Setup Times                                                                                                                                                            ;
+-------------+-------------------------------------------------------------+--------+--------+------------+-------------------------------------------------------------+
; Data Port   ; Clock Port                                                  ; Rise   ; Fall   ; Clock Edge ; Clock Reference                                             ;
+-------------+-------------------------------------------------------------+--------+--------+------------+-------------------------------------------------------------+
; PS2_CLK     ; CLOCK_50                                                    ; 4.278  ; 4.278  ; Rise       ; CLOCK_50                                                    ;
; KEY[*]      ; SW[8]                                                       ; 5.620  ; 5.620  ; Rise       ; SW[8]                                                       ;
;  KEY[0]     ; SW[8]                                                       ; 5.040  ; 5.040  ; Rise       ; SW[8]                                                       ;
;  KEY[1]     ; SW[8]                                                       ; 5.620  ; 5.620  ; Rise       ; SW[8]                                                       ;
;  KEY[2]     ; SW[8]                                                       ; 4.125  ; 4.125  ; Rise       ; SW[8]                                                       ;
;  KEY[3]     ; SW[8]                                                       ; 5.046  ; 5.046  ; Rise       ; SW[8]                                                       ;
; SRAM_DQ[*]  ; SW[8]                                                       ; 6.879  ; 6.879  ; Rise       ; SW[8]                                                       ;
;  SRAM_DQ[0] ; SW[8]                                                       ; 6.879  ; 6.879  ; Rise       ; SW[8]                                                       ;
;  SRAM_DQ[1] ; SW[8]                                                       ; 6.675  ; 6.675  ; Rise       ; SW[8]                                                       ;
;  SRAM_DQ[2] ; SW[8]                                                       ; 6.080  ; 6.080  ; Rise       ; SW[8]                                                       ;
;  SRAM_DQ[3] ; SW[8]                                                       ; 6.602  ; 6.602  ; Rise       ; SW[8]                                                       ;
;  SRAM_DQ[4] ; SW[8]                                                       ; 4.122  ; 4.122  ; Rise       ; SW[8]                                                       ;
;  SRAM_DQ[5] ; SW[8]                                                       ; 4.346  ; 4.346  ; Rise       ; SW[8]                                                       ;
;  SRAM_DQ[6] ; SW[8]                                                       ; 3.594  ; 3.594  ; Rise       ; SW[8]                                                       ;
;  SRAM_DQ[7] ; SW[8]                                                       ; 4.849  ; 4.849  ; Rise       ; SW[8]                                                       ;
; SW[*]       ; SW[8]                                                       ; 1.028  ; 1.028  ; Rise       ; SW[8]                                                       ;
;  SW[0]      ; SW[8]                                                       ; 0.729  ; 0.729  ; Rise       ; SW[8]                                                       ;
;  SW[1]      ; SW[8]                                                       ; 0.404  ; 0.404  ; Rise       ; SW[8]                                                       ;
;  SW[2]      ; SW[8]                                                       ; -0.100 ; -0.100 ; Rise       ; SW[8]                                                       ;
;  SW[3]      ; SW[8]                                                       ; 1.028  ; 1.028  ; Rise       ; SW[8]                                                       ;
;  SW[4]      ; SW[8]                                                       ; -0.522 ; -0.522 ; Rise       ; SW[8]                                                       ;
;  SW[5]      ; SW[8]                                                       ; -0.624 ; -0.624 ; Rise       ; SW[8]                                                       ;
;  SW[6]      ; SW[8]                                                       ; -0.719 ; -0.719 ; Rise       ; SW[8]                                                       ;
;  SW[7]      ; SW[8]                                                       ; -0.365 ; -0.365 ; Rise       ; SW[8]                                                       ;
; PS2_DAT     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 5.276  ; 5.276  ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ;
; SW[*]       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 2.574  ; 2.574  ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ;
;  SW[9]      ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 2.574  ; 2.574  ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ;
+-------------+-------------------------------------------------------------+--------+--------+------------+-------------------------------------------------------------+


+------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Hold Times                                                                                                                                                             ;
+-------------+-------------------------------------------------------------+--------+--------+------------+-------------------------------------------------------------+
; Data Port   ; Clock Port                                                  ; Rise   ; Fall   ; Clock Edge ; Clock Reference                                             ;
+-------------+-------------------------------------------------------------+--------+--------+------------+-------------------------------------------------------------+
; PS2_CLK     ; CLOCK_50                                                    ; -4.030 ; -4.030 ; Rise       ; CLOCK_50                                                    ;
; KEY[*]      ; SW[8]                                                       ; -3.782 ; -3.782 ; Rise       ; SW[8]                                                       ;
;  KEY[0]     ; SW[8]                                                       ; -4.451 ; -4.451 ; Rise       ; SW[8]                                                       ;
;  KEY[1]     ; SW[8]                                                       ; -5.094 ; -5.094 ; Rise       ; SW[8]                                                       ;
;  KEY[2]     ; SW[8]                                                       ; -3.782 ; -3.782 ; Rise       ; SW[8]                                                       ;
;  KEY[3]     ; SW[8]                                                       ; -4.532 ; -4.532 ; Rise       ; SW[8]                                                       ;
; SRAM_DQ[*]  ; SW[8]                                                       ; -2.624 ; -2.624 ; Rise       ; SW[8]                                                       ;
;  SRAM_DQ[0] ; SW[8]                                                       ; -6.290 ; -6.290 ; Rise       ; SW[8]                                                       ;
;  SRAM_DQ[1] ; SW[8]                                                       ; -6.149 ; -6.149 ; Rise       ; SW[8]                                                       ;
;  SRAM_DQ[2] ; SW[8]                                                       ; -5.737 ; -5.737 ; Rise       ; SW[8]                                                       ;
;  SRAM_DQ[3] ; SW[8]                                                       ; -6.088 ; -6.088 ; Rise       ; SW[8]                                                       ;
;  SRAM_DQ[4] ; SW[8]                                                       ; -3.230 ; -3.230 ; Rise       ; SW[8]                                                       ;
;  SRAM_DQ[5] ; SW[8]                                                       ; -3.202 ; -3.202 ; Rise       ; SW[8]                                                       ;
;  SRAM_DQ[6] ; SW[8]                                                       ; -2.624 ; -2.624 ; Rise       ; SW[8]                                                       ;
;  SRAM_DQ[7] ; SW[8]                                                       ; -4.114 ; -4.114 ; Rise       ; SW[8]                                                       ;
; SW[*]       ; SW[8]                                                       ; 1.768  ; 1.768  ; Rise       ; SW[8]                                                       ;
;  SW[0]      ; SW[8]                                                       ; -0.140 ; -0.140 ; Rise       ; SW[8]                                                       ;
;  SW[1]      ; SW[8]                                                       ; 0.122  ; 0.122  ; Rise       ; SW[8]                                                       ;
;  SW[2]      ; SW[8]                                                       ; 0.443  ; 0.443  ; Rise       ; SW[8]                                                       ;
;  SW[3]      ; SW[8]                                                       ; -0.514 ; -0.514 ; Rise       ; SW[8]                                                       ;
;  SW[4]      ; SW[8]                                                       ; 1.414  ; 1.414  ; Rise       ; SW[8]                                                       ;
;  SW[5]      ; SW[8]                                                       ; 1.768  ; 1.768  ; Rise       ; SW[8]                                                       ;
;  SW[6]      ; SW[8]                                                       ; 1.689  ; 1.689  ; Rise       ; SW[8]                                                       ;
;  SW[7]      ; SW[8]                                                       ; 1.100  ; 1.100  ; Rise       ; SW[8]                                                       ;
; PS2_DAT     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; -4.625 ; -4.625 ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ;
; SW[*]       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; -0.559 ; -0.559 ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ;
;  SW[9]      ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; -0.559 ; -0.559 ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ;
+-------------+-------------------------------------------------------------+--------+--------+------------+-------------------------------------------------------------+


+-------------------------------------------------------------------------------------------------------------------+
; Clock to Output Times                                                                                             ;
+----------------+---------------------------------+--------+--------+------------+---------------------------------+
; Data Port      ; Clock Port                      ; Rise   ; Fall   ; Clock Edge ; Clock Reference                 ;
+----------------+---------------------------------+--------+--------+------------+---------------------------------+
; HEX0[*]        ; SW[8]                           ; 11.126 ; 11.126 ; Rise       ; SW[8]                           ;
;  HEX0[0]       ; SW[8]                           ; 10.498 ; 10.498 ; Rise       ; SW[8]                           ;
;  HEX0[1]       ; SW[8]                           ; 10.523 ; 10.523 ; Rise       ; SW[8]                           ;
;  HEX0[2]       ; SW[8]                           ; 10.848 ; 10.848 ; Rise       ; SW[8]                           ;
;  HEX0[3]       ; SW[8]                           ; 10.872 ; 10.872 ; Rise       ; SW[8]                           ;
;  HEX0[4]       ; SW[8]                           ; 10.911 ; 10.911 ; Rise       ; SW[8]                           ;
;  HEX0[5]       ; SW[8]                           ; 10.900 ; 10.900 ; Rise       ; SW[8]                           ;
;  HEX0[6]       ; SW[8]                           ; 11.126 ; 11.126 ; Rise       ; SW[8]                           ;
; HEX1[*]        ; SW[8]                           ; 11.398 ; 11.398 ; Rise       ; SW[8]                           ;
;  HEX1[0]       ; SW[8]                           ; 11.367 ; 11.367 ; Rise       ; SW[8]                           ;
;  HEX1[1]       ; SW[8]                           ; 11.118 ; 11.118 ; Rise       ; SW[8]                           ;
;  HEX1[2]       ; SW[8]                           ; 11.109 ; 11.109 ; Rise       ; SW[8]                           ;
;  HEX1[3]       ; SW[8]                           ; 11.117 ; 11.117 ; Rise       ; SW[8]                           ;
;  HEX1[4]       ; SW[8]                           ; 11.398 ; 11.398 ; Rise       ; SW[8]                           ;
;  HEX1[5]       ; SW[8]                           ; 10.868 ; 10.868 ; Rise       ; SW[8]                           ;
;  HEX1[6]       ; SW[8]                           ; 11.386 ; 11.386 ; Rise       ; SW[8]                           ;
; HEX2[*]        ; SW[8]                           ; 13.082 ; 13.082 ; Rise       ; SW[8]                           ;
;  HEX2[0]       ; SW[8]                           ; 11.097 ; 11.097 ; Rise       ; SW[8]                           ;
;  HEX2[1]       ; SW[8]                           ; 11.101 ; 11.101 ; Rise       ; SW[8]                           ;
;  HEX2[2]       ; SW[8]                           ; 11.151 ; 11.151 ; Rise       ; SW[8]                           ;
;  HEX2[3]       ; SW[8]                           ; 10.916 ; 10.916 ; Rise       ; SW[8]                           ;
;  HEX2[4]       ; SW[8]                           ; 13.082 ; 13.082 ; Rise       ; SW[8]                           ;
;  HEX2[5]       ; SW[8]                           ; 11.230 ; 11.230 ; Rise       ; SW[8]                           ;
;  HEX2[6]       ; SW[8]                           ; 11.299 ; 11.299 ; Rise       ; SW[8]                           ;
; HEX3[*]        ; SW[8]                           ; 11.654 ; 11.654 ; Rise       ; SW[8]                           ;
;  HEX3[0]       ; SW[8]                           ; 10.890 ; 10.890 ; Rise       ; SW[8]                           ;
;  HEX3[1]       ; SW[8]                           ; 11.414 ; 11.414 ; Rise       ; SW[8]                           ;
;  HEX3[2]       ; SW[8]                           ; 11.654 ; 11.654 ; Rise       ; SW[8]                           ;
;  HEX3[3]       ; SW[8]                           ; 10.829 ; 10.829 ; Rise       ; SW[8]                           ;
;  HEX3[4]       ; SW[8]                           ; 10.501 ; 10.501 ; Rise       ; SW[8]                           ;
;  HEX3[5]       ; SW[8]                           ; 10.890 ; 10.890 ; Rise       ; SW[8]                           ;
;  HEX3[6]       ; SW[8]                           ; 11.648 ; 11.648 ; Rise       ; SW[8]                           ;
; LEDG[*]        ; SW[8]                           ; 13.664 ; 13.664 ; Rise       ; SW[8]                           ;
;  LEDG[0]       ; SW[8]                           ; 13.071 ; 13.071 ; Rise       ; SW[8]                           ;
;  LEDG[1]       ; SW[8]                           ; 12.955 ; 12.955 ; Rise       ; SW[8]                           ;
;  LEDG[2]       ; SW[8]                           ; 10.462 ; 10.462 ; Rise       ; SW[8]                           ;
;  LEDG[3]       ; SW[8]                           ; 10.130 ; 10.130 ; Rise       ; SW[8]                           ;
;  LEDG[4]       ; SW[8]                           ; 10.475 ; 10.475 ; Rise       ; SW[8]                           ;
;  LEDG[5]       ; SW[8]                           ; 10.497 ; 10.497 ; Rise       ; SW[8]                           ;
;  LEDG[6]       ; SW[8]                           ; 10.157 ; 10.157 ; Rise       ; SW[8]                           ;
;  LEDG[7]       ; SW[8]                           ; 13.664 ; 13.664 ; Rise       ; SW[8]                           ;
; LEDR[*]        ; SW[8]                           ; 10.685 ; 10.685 ; Rise       ; SW[8]                           ;
;  LEDR[0]       ; SW[8]                           ; 10.523 ; 10.523 ; Rise       ; SW[8]                           ;
;  LEDR[1]       ; SW[8]                           ; 10.461 ; 10.461 ; Rise       ; SW[8]                           ;
;  LEDR[2]       ; SW[8]                           ; 10.478 ; 10.478 ; Rise       ; SW[8]                           ;
;  LEDR[3]       ; SW[8]                           ; 10.487 ; 10.487 ; Rise       ; SW[8]                           ;
;  LEDR[4]       ; SW[8]                           ; 10.636 ; 10.636 ; Rise       ; SW[8]                           ;
;  LEDR[5]       ; SW[8]                           ; 10.531 ; 10.531 ; Rise       ; SW[8]                           ;
;  LEDR[6]       ; SW[8]                           ; 10.511 ; 10.511 ; Rise       ; SW[8]                           ;
;  LEDR[7]       ; SW[8]                           ; 10.685 ; 10.685 ; Rise       ; SW[8]                           ;
;  LEDR[8]       ; SW[8]                           ; 6.789  ; 6.789  ; Rise       ; SW[8]                           ;
; SRAM_ADDR[*]   ; SW[8]                           ; 13.976 ; 13.976 ; Rise       ; SW[8]                           ;
;  SRAM_ADDR[0]  ; SW[8]                           ; 11.417 ; 11.417 ; Rise       ; SW[8]                           ;
;  SRAM_ADDR[1]  ; SW[8]                           ; 12.060 ; 12.060 ; Rise       ; SW[8]                           ;
;  SRAM_ADDR[2]  ; SW[8]                           ; 11.874 ; 11.874 ; Rise       ; SW[8]                           ;
;  SRAM_ADDR[3]  ; SW[8]                           ; 12.246 ; 12.246 ; Rise       ; SW[8]                           ;
;  SRAM_ADDR[4]  ; SW[8]                           ; 11.877 ; 11.877 ; Rise       ; SW[8]                           ;
;  SRAM_ADDR[5]  ; SW[8]                           ; 11.847 ; 11.847 ; Rise       ; SW[8]                           ;
;  SRAM_ADDR[6]  ; SW[8]                           ; 11.909 ; 11.909 ; Rise       ; SW[8]                           ;
;  SRAM_ADDR[7]  ; SW[8]                           ; 12.202 ; 12.202 ; Rise       ; SW[8]                           ;
;  SRAM_ADDR[8]  ; SW[8]                           ; 10.965 ; 10.965 ; Rise       ; SW[8]                           ;
;  SRAM_ADDR[9]  ; SW[8]                           ; 11.222 ; 11.222 ; Rise       ; SW[8]                           ;
;  SRAM_ADDR[10] ; SW[8]                           ; 11.610 ; 11.610 ; Rise       ; SW[8]                           ;
;  SRAM_ADDR[11] ; SW[8]                           ; 11.339 ; 11.339 ; Rise       ; SW[8]                           ;
;  SRAM_ADDR[12] ; SW[8]                           ; 12.368 ; 12.368 ; Rise       ; SW[8]                           ;
;  SRAM_ADDR[13] ; SW[8]                           ; 13.166 ; 13.166 ; Rise       ; SW[8]                           ;
;  SRAM_ADDR[14] ; SW[8]                           ; 11.767 ; 11.767 ; Rise       ; SW[8]                           ;
;  SRAM_ADDR[15] ; SW[8]                           ; 13.976 ; 13.976 ; Rise       ; SW[8]                           ;
; SRAM_DQ[*]     ; SW[8]                           ; 13.387 ; 13.387 ; Rise       ; SW[8]                           ;
;  SRAM_DQ[0]    ; SW[8]                           ; 12.325 ; 12.325 ; Rise       ; SW[8]                           ;
;  SRAM_DQ[1]    ; SW[8]                           ; 11.859 ; 11.859 ; Rise       ; SW[8]                           ;
;  SRAM_DQ[2]    ; SW[8]                           ; 12.268 ; 12.268 ; Rise       ; SW[8]                           ;
;  SRAM_DQ[3]    ; SW[8]                           ; 11.668 ; 11.668 ; Rise       ; SW[8]                           ;
;  SRAM_DQ[4]    ; SW[8]                           ; 12.060 ; 12.060 ; Rise       ; SW[8]                           ;
;  SRAM_DQ[5]    ; SW[8]                           ; 13.387 ; 13.387 ; Rise       ; SW[8]                           ;
;  SRAM_DQ[6]    ; SW[8]                           ; 11.975 ; 11.975 ; Rise       ; SW[8]                           ;
;  SRAM_DQ[7]    ; SW[8]                           ; 13.005 ; 13.005 ; Rise       ; SW[8]                           ;
; SRAM_OE_N      ; SW[8]                           ; 14.662 ; 14.662 ; Rise       ; SW[8]                           ;
; SRAM_WE_N      ; SW[8]                           ; 14.228 ; 14.228 ; Rise       ; SW[8]                           ;
; LEDR[*]        ; SW[8]                           ; 6.789  ; 6.789  ; Fall       ; SW[8]                           ;
;  LEDR[8]       ; SW[8]                           ; 6.789  ; 6.789  ; Fall       ; SW[8]                           ;
; VGA_B[*]       ; clk_div:clkdiv_inst|clock_25MHz ; 7.744  ; 7.744  ; Rise       ; clk_div:clkdiv_inst|clock_25MHz ;
;  VGA_B[0]      ; clk_div:clkdiv_inst|clock_25MHz ; 7.744  ; 7.744  ; Rise       ; clk_div:clkdiv_inst|clock_25MHz ;
;  VGA_B[1]      ; clk_div:clkdiv_inst|clock_25MHz ; 7.404  ; 7.404  ; Rise       ; clk_div:clkdiv_inst|clock_25MHz ;
;  VGA_B[2]      ; clk_div:clkdiv_inst|clock_25MHz ; 7.682  ; 7.682  ; Rise       ; clk_div:clkdiv_inst|clock_25MHz ;
;  VGA_B[3]      ; clk_div:clkdiv_inst|clock_25MHz ; 7.380  ; 7.380  ; Rise       ; clk_div:clkdiv_inst|clock_25MHz ;
; VGA_HS         ; clk_div:clkdiv_inst|clock_25MHz ; 8.020  ; 8.020  ; Rise       ; clk_div:clkdiv_inst|clock_25MHz ;
; VGA_VS         ; clk_div:clkdiv_inst|clock_25MHz ; 6.830  ; 6.830  ; Rise       ; clk_div:clkdiv_inst|clock_25MHz ;
+----------------+---------------------------------+--------+--------+------------+---------------------------------+


+-------------------------------------------------------------------------------------------------------------------+
; Minimum Clock to Output Times                                                                                     ;
+----------------+---------------------------------+--------+--------+------------+---------------------------------+
; Data Port      ; Clock Port                      ; Rise   ; Fall   ; Clock Edge ; Clock Reference                 ;
+----------------+---------------------------------+--------+--------+------------+---------------------------------+
; HEX0[*]        ; SW[8]                           ; 9.926  ; 9.926  ; Rise       ; SW[8]                           ;
;  HEX0[0]       ; SW[8]                           ; 10.139 ; 10.139 ; Rise       ; SW[8]                           ;
;  HEX0[1]       ; SW[8]                           ; 9.926  ; 9.926  ; Rise       ; SW[8]                           ;
;  HEX0[2]       ; SW[8]                           ; 10.266 ; 10.266 ; Rise       ; SW[8]                           ;
;  HEX0[3]       ; SW[8]                           ; 10.515 ; 10.515 ; Rise       ; SW[8]                           ;
;  HEX0[4]       ; SW[8]                           ; 10.312 ; 10.312 ; Rise       ; SW[8]                           ;
;  HEX0[5]       ; SW[8]                           ; 10.540 ; 10.540 ; Rise       ; SW[8]                           ;
;  HEX0[6]       ; SW[8]                           ; 10.286 ; 10.286 ; Rise       ; SW[8]                           ;
; HEX1[*]        ; SW[8]                           ; 10.274 ; 10.274 ; Rise       ; SW[8]                           ;
;  HEX1[0]       ; SW[8]                           ; 10.740 ; 10.740 ; Rise       ; SW[8]                           ;
;  HEX1[1]       ; SW[8]                           ; 10.274 ; 10.274 ; Rise       ; SW[8]                           ;
;  HEX1[2]       ; SW[8]                           ; 10.482 ; 10.482 ; Rise       ; SW[8]                           ;
;  HEX1[3]       ; SW[8]                           ; 10.489 ; 10.489 ; Rise       ; SW[8]                           ;
;  HEX1[4]       ; SW[8]                           ; 10.557 ; 10.557 ; Rise       ; SW[8]                           ;
;  HEX1[5]       ; SW[8]                           ; 10.309 ; 10.309 ; Rise       ; SW[8]                           ;
;  HEX1[6]       ; SW[8]                           ; 10.544 ; 10.544 ; Rise       ; SW[8]                           ;
; HEX2[*]        ; SW[8]                           ; 10.305 ; 10.305 ; Rise       ; SW[8]                           ;
;  HEX2[0]       ; SW[8]                           ; 10.526 ; 10.526 ; Rise       ; SW[8]                           ;
;  HEX2[1]       ; SW[8]                           ; 10.533 ; 10.533 ; Rise       ; SW[8]                           ;
;  HEX2[2]       ; SW[8]                           ; 10.576 ; 10.576 ; Rise       ; SW[8]                           ;
;  HEX2[3]       ; SW[8]                           ; 10.305 ; 10.305 ; Rise       ; SW[8]                           ;
;  HEX2[4]       ; SW[8]                           ; 10.852 ; 10.852 ; Rise       ; SW[8]                           ;
;  HEX2[5]       ; SW[8]                           ; 10.614 ; 10.614 ; Rise       ; SW[8]                           ;
;  HEX2[6]       ; SW[8]                           ; 10.682 ; 10.682 ; Rise       ; SW[8]                           ;
; HEX3[*]        ; SW[8]                           ; 9.902  ; 9.902  ; Rise       ; SW[8]                           ;
;  HEX3[0]       ; SW[8]                           ; 10.501 ; 10.501 ; Rise       ; SW[8]                           ;
;  HEX3[1]       ; SW[8]                           ; 10.999 ; 10.999 ; Rise       ; SW[8]                           ;
;  HEX3[2]       ; SW[8]                           ; 11.239 ; 11.239 ; Rise       ; SW[8]                           ;
;  HEX3[3]       ; SW[8]                           ; 10.226 ; 10.226 ; Rise       ; SW[8]                           ;
;  HEX3[4]       ; SW[8]                           ; 9.902  ; 9.902  ; Rise       ; SW[8]                           ;
;  HEX3[5]       ; SW[8]                           ; 10.292 ; 10.292 ; Rise       ; SW[8]                           ;
;  HEX3[6]       ; SW[8]                           ; 10.873 ; 10.873 ; Rise       ; SW[8]                           ;
; LEDG[*]        ; SW[8]                           ; 10.130 ; 10.130 ; Rise       ; SW[8]                           ;
;  LEDG[0]       ; SW[8]                           ; 13.071 ; 13.071 ; Rise       ; SW[8]                           ;
;  LEDG[1]       ; SW[8]                           ; 12.955 ; 12.955 ; Rise       ; SW[8]                           ;
;  LEDG[2]       ; SW[8]                           ; 10.462 ; 10.462 ; Rise       ; SW[8]                           ;
;  LEDG[3]       ; SW[8]                           ; 10.130 ; 10.130 ; Rise       ; SW[8]                           ;
;  LEDG[4]       ; SW[8]                           ; 10.475 ; 10.475 ; Rise       ; SW[8]                           ;
;  LEDG[5]       ; SW[8]                           ; 10.497 ; 10.497 ; Rise       ; SW[8]                           ;
;  LEDG[6]       ; SW[8]                           ; 10.157 ; 10.157 ; Rise       ; SW[8]                           ;
;  LEDG[7]       ; SW[8]                           ; 13.664 ; 13.664 ; Rise       ; SW[8]                           ;
; LEDR[*]        ; SW[8]                           ; 6.789  ; 6.789  ; Rise       ; SW[8]                           ;
;  LEDR[0]       ; SW[8]                           ; 10.523 ; 10.523 ; Rise       ; SW[8]                           ;
;  LEDR[1]       ; SW[8]                           ; 10.461 ; 10.461 ; Rise       ; SW[8]                           ;
;  LEDR[2]       ; SW[8]                           ; 10.478 ; 10.478 ; Rise       ; SW[8]                           ;
;  LEDR[3]       ; SW[8]                           ; 10.487 ; 10.487 ; Rise       ; SW[8]                           ;
;  LEDR[4]       ; SW[8]                           ; 10.636 ; 10.636 ; Rise       ; SW[8]                           ;
;  LEDR[5]       ; SW[8]                           ; 10.531 ; 10.531 ; Rise       ; SW[8]                           ;
;  LEDR[6]       ; SW[8]                           ; 10.511 ; 10.511 ; Rise       ; SW[8]                           ;
;  LEDR[7]       ; SW[8]                           ; 10.685 ; 10.685 ; Rise       ; SW[8]                           ;
;  LEDR[8]       ; SW[8]                           ; 6.789  ; 6.789  ; Rise       ; SW[8]                           ;
; SRAM_ADDR[*]   ; SW[8]                           ; 10.965 ; 10.965 ; Rise       ; SW[8]                           ;
;  SRAM_ADDR[0]  ; SW[8]                           ; 11.417 ; 11.417 ; Rise       ; SW[8]                           ;
;  SRAM_ADDR[1]  ; SW[8]                           ; 12.060 ; 12.060 ; Rise       ; SW[8]                           ;
;  SRAM_ADDR[2]  ; SW[8]                           ; 11.874 ; 11.874 ; Rise       ; SW[8]                           ;
;  SRAM_ADDR[3]  ; SW[8]                           ; 12.246 ; 12.246 ; Rise       ; SW[8]                           ;
;  SRAM_ADDR[4]  ; SW[8]                           ; 11.877 ; 11.877 ; Rise       ; SW[8]                           ;
;  SRAM_ADDR[5]  ; SW[8]                           ; 11.847 ; 11.847 ; Rise       ; SW[8]                           ;
;  SRAM_ADDR[6]  ; SW[8]                           ; 11.909 ; 11.909 ; Rise       ; SW[8]                           ;
;  SRAM_ADDR[7]  ; SW[8]                           ; 12.202 ; 12.202 ; Rise       ; SW[8]                           ;
;  SRAM_ADDR[8]  ; SW[8]                           ; 10.965 ; 10.965 ; Rise       ; SW[8]                           ;
;  SRAM_ADDR[9]  ; SW[8]                           ; 11.222 ; 11.222 ; Rise       ; SW[8]                           ;
;  SRAM_ADDR[10] ; SW[8]                           ; 11.610 ; 11.610 ; Rise       ; SW[8]                           ;
;  SRAM_ADDR[11] ; SW[8]                           ; 11.339 ; 11.339 ; Rise       ; SW[8]                           ;
;  SRAM_ADDR[12] ; SW[8]                           ; 12.368 ; 12.368 ; Rise       ; SW[8]                           ;
;  SRAM_ADDR[13] ; SW[8]                           ; 13.166 ; 13.166 ; Rise       ; SW[8]                           ;
;  SRAM_ADDR[14] ; SW[8]                           ; 11.767 ; 11.767 ; Rise       ; SW[8]                           ;
;  SRAM_ADDR[15] ; SW[8]                           ; 13.799 ; 13.799 ; Rise       ; SW[8]                           ;
; SRAM_DQ[*]     ; SW[8]                           ; 11.668 ; 11.668 ; Rise       ; SW[8]                           ;
;  SRAM_DQ[0]    ; SW[8]                           ; 12.325 ; 12.325 ; Rise       ; SW[8]                           ;
;  SRAM_DQ[1]    ; SW[8]                           ; 11.859 ; 11.859 ; Rise       ; SW[8]                           ;
;  SRAM_DQ[2]    ; SW[8]                           ; 12.268 ; 12.268 ; Rise       ; SW[8]                           ;
;  SRAM_DQ[3]    ; SW[8]                           ; 11.668 ; 11.668 ; Rise       ; SW[8]                           ;
;  SRAM_DQ[4]    ; SW[8]                           ; 12.060 ; 12.060 ; Rise       ; SW[8]                           ;
;  SRAM_DQ[5]    ; SW[8]                           ; 13.387 ; 13.387 ; Rise       ; SW[8]                           ;
;  SRAM_DQ[6]    ; SW[8]                           ; 11.975 ; 11.975 ; Rise       ; SW[8]                           ;
;  SRAM_DQ[7]    ; SW[8]                           ; 13.005 ; 13.005 ; Rise       ; SW[8]                           ;
; SRAM_OE_N      ; SW[8]                           ; 13.719 ; 13.719 ; Rise       ; SW[8]                           ;
; SRAM_WE_N      ; SW[8]                           ; 13.100 ; 13.100 ; Rise       ; SW[8]                           ;
; LEDR[*]        ; SW[8]                           ; 6.789  ; 6.789  ; Fall       ; SW[8]                           ;
;  LEDR[8]       ; SW[8]                           ; 6.789  ; 6.789  ; Fall       ; SW[8]                           ;
; VGA_B[*]       ; clk_div:clkdiv_inst|clock_25MHz ; 7.380  ; 7.380  ; Rise       ; clk_div:clkdiv_inst|clock_25MHz ;
;  VGA_B[0]      ; clk_div:clkdiv_inst|clock_25MHz ; 7.744  ; 7.744  ; Rise       ; clk_div:clkdiv_inst|clock_25MHz ;
;  VGA_B[1]      ; clk_div:clkdiv_inst|clock_25MHz ; 7.404  ; 7.404  ; Rise       ; clk_div:clkdiv_inst|clock_25MHz ;
;  VGA_B[2]      ; clk_div:clkdiv_inst|clock_25MHz ; 7.682  ; 7.682  ; Rise       ; clk_div:clkdiv_inst|clock_25MHz ;
;  VGA_B[3]      ; clk_div:clkdiv_inst|clock_25MHz ; 7.380  ; 7.380  ; Rise       ; clk_div:clkdiv_inst|clock_25MHz ;
; VGA_HS         ; clk_div:clkdiv_inst|clock_25MHz ; 8.020  ; 8.020  ; Rise       ; clk_div:clkdiv_inst|clock_25MHz ;
; VGA_VS         ; clk_div:clkdiv_inst|clock_25MHz ; 6.830  ; 6.830  ; Rise       ; clk_div:clkdiv_inst|clock_25MHz ;
+----------------+---------------------------------+--------+--------+------------+---------------------------------+


+----------------------------------------------------+
; Propagation Delay                                  ;
+------------+-------------+-------+----+----+-------+
; Input Port ; Output Port ; RR    ; RF ; FR ; FF    ;
+------------+-------------+-------+----+----+-------+
; SW[9]      ; LEDR[9]     ; 6.189 ;    ;    ; 6.189 ;
+------------+-------------+-------+----+----+-------+


+----------------------------------------------------+
; Minimum Propagation Delay                          ;
+------------+-------------+-------+----+----+-------+
; Input Port ; Output Port ; RR    ; RF ; FR ; FF    ;
+------------+-------------+-------+----+----+-------+
; SW[9]      ; LEDR[9]     ; 6.189 ;    ;    ; 6.189 ;
+------------+-------------+-------+----+----+-------+


+-------------------------------------------------------------------------+
; Output Enable Times                                                     ;
+-------------+------------+--------+------+------------+-----------------+
; Data Port   ; Clock Port ; Rise   ; Fall ; Clock Edge ; Clock Reference ;
+-------------+------------+--------+------+------------+-----------------+
; SRAM_DQ[*]  ; SW[8]      ; 13.357 ;      ; Rise       ; SW[8]           ;
;  SRAM_DQ[0] ; SW[8]      ; 13.927 ;      ; Rise       ; SW[8]           ;
;  SRAM_DQ[1] ; SW[8]      ; 13.937 ;      ; Rise       ; SW[8]           ;
;  SRAM_DQ[2] ; SW[8]      ; 13.372 ;      ; Rise       ; SW[8]           ;
;  SRAM_DQ[3] ; SW[8]      ; 13.382 ;      ; Rise       ; SW[8]           ;
;  SRAM_DQ[4] ; SW[8]      ; 14.276 ;      ; Rise       ; SW[8]           ;
;  SRAM_DQ[5] ; SW[8]      ; 14.011 ;      ; Rise       ; SW[8]           ;
;  SRAM_DQ[6] ; SW[8]      ; 13.909 ;      ; Rise       ; SW[8]           ;
;  SRAM_DQ[7] ; SW[8]      ; 13.357 ;      ; Rise       ; SW[8]           ;
+-------------+------------+--------+------+------------+-----------------+


+-------------------------------------------------------------------------+
; Minimum Output Enable Times                                             ;
+-------------+------------+--------+------+------------+-----------------+
; Data Port   ; Clock Port ; Rise   ; Fall ; Clock Edge ; Clock Reference ;
+-------------+------------+--------+------+------------+-----------------+
; SRAM_DQ[*]  ; SW[8]      ; 12.229 ;      ; Rise       ; SW[8]           ;
;  SRAM_DQ[0] ; SW[8]      ; 12.799 ;      ; Rise       ; SW[8]           ;
;  SRAM_DQ[1] ; SW[8]      ; 12.809 ;      ; Rise       ; SW[8]           ;
;  SRAM_DQ[2] ; SW[8]      ; 12.244 ;      ; Rise       ; SW[8]           ;
;  SRAM_DQ[3] ; SW[8]      ; 12.254 ;      ; Rise       ; SW[8]           ;
;  SRAM_DQ[4] ; SW[8]      ; 13.148 ;      ; Rise       ; SW[8]           ;
;  SRAM_DQ[5] ; SW[8]      ; 12.883 ;      ; Rise       ; SW[8]           ;
;  SRAM_DQ[6] ; SW[8]      ; 12.781 ;      ; Rise       ; SW[8]           ;
;  SRAM_DQ[7] ; SW[8]      ; 12.229 ;      ; Rise       ; SW[8]           ;
+-------------+------------+--------+------+------------+-----------------+


+---------------------------------------------------------------------------------+
; Output Disable Times                                                            ;
+-------------+------------+-----------+-----------+------------+-----------------+
; Data Port   ; Clock Port ; 0 to Hi-Z ; 1 to Hi-Z ; Clock Edge ; Clock Reference ;
+-------------+------------+-----------+-----------+------------+-----------------+
; SRAM_DQ[*]  ; SW[8]      ; 13.357    ;           ; Rise       ; SW[8]           ;
;  SRAM_DQ[0] ; SW[8]      ; 13.927    ;           ; Rise       ; SW[8]           ;
;  SRAM_DQ[1] ; SW[8]      ; 13.937    ;           ; Rise       ; SW[8]           ;
;  SRAM_DQ[2] ; SW[8]      ; 13.372    ;           ; Rise       ; SW[8]           ;
;  SRAM_DQ[3] ; SW[8]      ; 13.382    ;           ; Rise       ; SW[8]           ;
;  SRAM_DQ[4] ; SW[8]      ; 14.276    ;           ; Rise       ; SW[8]           ;
;  SRAM_DQ[5] ; SW[8]      ; 14.011    ;           ; Rise       ; SW[8]           ;
;  SRAM_DQ[6] ; SW[8]      ; 13.909    ;           ; Rise       ; SW[8]           ;
;  SRAM_DQ[7] ; SW[8]      ; 13.357    ;           ; Rise       ; SW[8]           ;
+-------------+------------+-----------+-----------+------------+-----------------+


+---------------------------------------------------------------------------------+
; Minimum Output Disable Times                                                    ;
+-------------+------------+-----------+-----------+------------+-----------------+
; Data Port   ; Clock Port ; 0 to Hi-Z ; 1 to Hi-Z ; Clock Edge ; Clock Reference ;
+-------------+------------+-----------+-----------+------------+-----------------+
; SRAM_DQ[*]  ; SW[8]      ; 12.229    ;           ; Rise       ; SW[8]           ;
;  SRAM_DQ[0] ; SW[8]      ; 12.799    ;           ; Rise       ; SW[8]           ;
;  SRAM_DQ[1] ; SW[8]      ; 12.809    ;           ; Rise       ; SW[8]           ;
;  SRAM_DQ[2] ; SW[8]      ; 12.244    ;           ; Rise       ; SW[8]           ;
;  SRAM_DQ[3] ; SW[8]      ; 12.254    ;           ; Rise       ; SW[8]           ;
;  SRAM_DQ[4] ; SW[8]      ; 13.148    ;           ; Rise       ; SW[8]           ;
;  SRAM_DQ[5] ; SW[8]      ; 12.883    ;           ; Rise       ; SW[8]           ;
;  SRAM_DQ[6] ; SW[8]      ; 12.781    ;           ; Rise       ; SW[8]           ;
;  SRAM_DQ[7] ; SW[8]      ; 12.229    ;           ; Rise       ; SW[8]           ;
+-------------+------------+-----------+-----------+------------+-----------------+


+--------------------------------------------------------------------------------------+
; Fast Model Setup Summary                                                             ;
+-------------------------------------------------------------+--------+---------------+
; Clock                                                       ; Slack  ; End Point TNS ;
+-------------------------------------------------------------+--------+---------------+
; SW[8]                                                       ; -5.167 ; -1615.123     ;
; clk_div:clkdiv_inst|clock_25MHz                             ; -2.493 ; -1123.028     ;
; CLOCK_50                                                    ; -1.492 ; -12.632       ;
; clk_div:clkdiv_inst|clock_100Hz                             ; -0.443 ; -0.886        ;
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; -0.411 ; -5.280        ;
; clk_div:clkdiv_inst|clock_25Mhz_int                         ; 0.157  ; 0.000         ;
; clk_div:clkdiv_inst|clock_1Khz_int                          ; 0.439  ; 0.000         ;
; clk_div:clkdiv_inst|clock_1Mhz_int                          ; 0.444  ; 0.000         ;
; clk_div:clkdiv_inst|clock_100Khz_int                        ; 0.505  ; 0.000         ;
; clk_div:clkdiv_inst|clock_10Khz_int                         ; 0.505  ; 0.000         ;
+-------------------------------------------------------------+--------+---------------+


+--------------------------------------------------------------------------------------+
; Fast Model Hold Summary                                                              ;
+-------------------------------------------------------------+--------+---------------+
; Clock                                                       ; Slack  ; End Point TNS ;
+-------------------------------------------------------------+--------+---------------+
; CLOCK_50                                                    ; -1.730 ; -5.451        ;
; clk_div:clkdiv_inst|clock_10Khz_int                         ; -1.457 ; -1.457        ;
; clk_div:clkdiv_inst|clock_1Mhz_int                          ; -1.424 ; -1.424        ;
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; -1.414 ; -1.414        ;
; clk_div:clkdiv_inst|clock_100Khz_int                        ; -1.408 ; -1.408        ;
; SW[8]                                                       ; -0.871 ; -10.421       ;
; clk_div:clkdiv_inst|clock_1Khz_int                          ; 0.215  ; 0.000         ;
; clk_div:clkdiv_inst|clock_25MHz                             ; 0.215  ; 0.000         ;
; clk_div:clkdiv_inst|clock_100Hz                             ; 0.242  ; 0.000         ;
; clk_div:clkdiv_inst|clock_25Mhz_int                         ; 0.251  ; 0.000         ;
+-------------------------------------------------------------+--------+---------------+


+--------------------------------------------------------------------------+
; Fast Model Recovery Summary                                              ;
+-------------------------------------------------+--------+---------------+
; Clock                                           ; Slack  ; End Point TNS ;
+-------------------------------------------------+--------+---------------+
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set ; -0.895 ; -0.895        ;
+-------------------------------------------------+--------+---------------+


+-------------------------------------------------------------------------+
; Fast Model Removal Summary                                              ;
+-------------------------------------------------+-------+---------------+
; Clock                                           ; Slack ; End Point TNS ;
+-------------------------------------------------+-------+---------------+
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set ; 1.775 ; 0.000         ;
+-------------------------------------------------+-------+---------------+


+--------------------------------------------------------------------------------------+
; Fast Model Minimum Pulse Width Summary                                               ;
+-------------------------------------------------------------+--------+---------------+
; Clock                                                       ; Slack  ; End Point TNS ;
+-------------------------------------------------------------+--------+---------------+
; clk_div:clkdiv_inst|clock_25MHz                             ; -1.880 ; -1929.776     ;
; SW[8]                                                       ; -1.880 ; -1318.860     ;
; CLOCK_50                                                    ; -1.380 ; -49.380       ;
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; -0.500 ; -23.000       ;
; clk_div:clkdiv_inst|clock_25Mhz_int                         ; -0.500 ; -6.000        ;
; clk_div:clkdiv_inst|clock_100Khz_int                        ; -0.500 ; -4.000        ;
; clk_div:clkdiv_inst|clock_10Khz_int                         ; -0.500 ; -4.000        ;
; clk_div:clkdiv_inst|clock_1Khz_int                          ; -0.500 ; -4.000        ;
; clk_div:clkdiv_inst|clock_1Mhz_int                          ; -0.500 ; -4.000        ;
; clk_div:clkdiv_inst|clock_100Hz                             ; -0.500 ; -2.000        ;
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set             ; -0.500 ; -1.000        ;
+-------------------------------------------------------------+--------+---------------+


+-----------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Fast Model Setup: 'SW[8]'                                                                                                                                       ;
+--------+---------------------------------+------------------------------------------------+--------------+-------------+--------------+------------+------------+
; Slack  ; From Node                       ; To Node                                        ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ;
+--------+---------------------------------+------------------------------------------------+--------------+-------------+--------------+------------+------------+
; -5.167 ; T80se:z80_inst|T80:u0|IR[4]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[2][7] ; SW[8]        ; SW[8]       ; 1.000        ; -0.017     ; 6.182      ;
; -5.166 ; T80se:z80_inst|T80:u0|IR[4]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[1][7] ; SW[8]        ; SW[8]       ; 1.000        ; -0.017     ; 6.181      ;
; -5.161 ; T80se:z80_inst|T80:u0|F[6]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[2][7] ; SW[8]        ; SW[8]       ; 1.000        ; -0.014     ; 6.179      ;
; -5.160 ; T80se:z80_inst|T80:u0|F[6]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[1][7] ; SW[8]        ; SW[8]       ; 1.000        ; -0.014     ; 6.178      ;
; -5.110 ; T80se:z80_inst|T80:u0|IR[4]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[3][6] ; SW[8]        ; SW[8]       ; 1.000        ; -0.018     ; 6.124      ;
; -5.106 ; T80se:z80_inst|T80:u0|IR[4]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[0][6] ; SW[8]        ; SW[8]       ; 1.000        ; -0.018     ; 6.120      ;
; -5.104 ; T80se:z80_inst|T80:u0|F[6]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[3][6] ; SW[8]        ; SW[8]       ; 1.000        ; -0.015     ; 6.121      ;
; -5.100 ; T80se:z80_inst|T80:u0|F[6]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[0][6] ; SW[8]        ; SW[8]       ; 1.000        ; -0.015     ; 6.117      ;
; -5.079 ; T80se:z80_inst|T80:u0|IR[4]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[7][4] ; SW[8]        ; SW[8]       ; 1.000        ; -0.003     ; 6.108      ;
; -5.079 ; T80se:z80_inst|T80:u0|IR[4]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[4][4] ; SW[8]        ; SW[8]       ; 1.000        ; -0.003     ; 6.108      ;
; -5.076 ; T80se:z80_inst|T80:u0|F[0]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[2][7] ; SW[8]        ; SW[8]       ; 1.000        ; -0.018     ; 6.090      ;
; -5.075 ; T80se:z80_inst|T80:u0|F[0]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[1][7] ; SW[8]        ; SW[8]       ; 1.000        ; -0.018     ; 6.089      ;
; -5.073 ; T80se:z80_inst|T80:u0|F[6]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[7][4] ; SW[8]        ; SW[8]       ; 1.000        ; 0.000      ; 6.105      ;
; -5.073 ; T80se:z80_inst|T80:u0|F[6]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[4][4] ; SW[8]        ; SW[8]       ; 1.000        ; 0.000      ; 6.105      ;
; -5.072 ; T80se:z80_inst|T80:u0|MCycle[2] ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[2][7] ; SW[8]        ; SW[8]       ; 1.000        ; -0.019     ; 6.085      ;
; -5.071 ; T80se:z80_inst|T80:u0|MCycle[2] ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[1][7] ; SW[8]        ; SW[8]       ; 1.000        ; -0.019     ; 6.084      ;
; -5.068 ; T80se:z80_inst|T80:u0|IR[4]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[0][7] ; SW[8]        ; SW[8]       ; 1.000        ; -0.018     ; 6.082      ;
; -5.066 ; T80se:z80_inst|T80:u0|IR[4]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[3][7] ; SW[8]        ; SW[8]       ; 1.000        ; -0.018     ; 6.080      ;
; -5.064 ; T80se:z80_inst|T80:u0|IR[4]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[3][5] ; SW[8]        ; SW[8]       ; 1.000        ; -0.003     ; 6.093      ;
; -5.062 ; T80se:z80_inst|T80:u0|F[6]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[0][7] ; SW[8]        ; SW[8]       ; 1.000        ; -0.015     ; 6.079      ;
; -5.060 ; T80se:z80_inst|T80:u0|F[6]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[3][7] ; SW[8]        ; SW[8]       ; 1.000        ; -0.015     ; 6.077      ;
; -5.059 ; T80se:z80_inst|T80:u0|IR[4]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[1][3] ; SW[8]        ; SW[8]       ; 1.000        ; -0.012     ; 6.079      ;
; -5.058 ; T80se:z80_inst|T80:u0|F[6]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[3][5] ; SW[8]        ; SW[8]       ; 1.000        ; 0.000      ; 6.090      ;
; -5.057 ; T80se:z80_inst|T80:u0|IR[4]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[0][5] ; SW[8]        ; SW[8]       ; 1.000        ; -0.003     ; 6.086      ;
; -5.057 ; T80se:z80_inst|T80:u0|F[2]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[2][7] ; SW[8]        ; SW[8]       ; 1.000        ; -0.014     ; 6.075      ;
; -5.056 ; T80se:z80_inst|T80:u0|F[2]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[1][7] ; SW[8]        ; SW[8]       ; 1.000        ; -0.014     ; 6.074      ;
; -5.055 ; T80se:z80_inst|T80:u0|IR[4]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[7][7] ; SW[8]        ; SW[8]       ; 1.000        ; -0.012     ; 6.075      ;
; -5.053 ; T80se:z80_inst|T80:u0|F[6]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[1][3] ; SW[8]        ; SW[8]       ; 1.000        ; -0.009     ; 6.076      ;
; -5.051 ; T80se:z80_inst|T80:u0|IR[4]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[7][5] ; SW[8]        ; SW[8]       ; 1.000        ; -0.003     ; 6.080      ;
; -5.051 ; T80se:z80_inst|T80:u0|IR[4]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[4][7] ; SW[8]        ; SW[8]       ; 1.000        ; -0.012     ; 6.071      ;
; -5.051 ; T80se:z80_inst|T80:u0|F[6]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[0][5] ; SW[8]        ; SW[8]       ; 1.000        ; 0.000      ; 6.083      ;
; -5.049 ; T80se:z80_inst|T80:u0|F[6]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[7][7] ; SW[8]        ; SW[8]       ; 1.000        ; -0.009     ; 6.072      ;
; -5.046 ; T80se:z80_inst|T80:u0|IR[4]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[4][5] ; SW[8]        ; SW[8]       ; 1.000        ; -0.003     ; 6.075      ;
; -5.045 ; T80se:z80_inst|T80:u0|F[6]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[7][5] ; SW[8]        ; SW[8]       ; 1.000        ; 0.000      ; 6.077      ;
; -5.045 ; T80se:z80_inst|T80:u0|F[6]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[4][7] ; SW[8]        ; SW[8]       ; 1.000        ; -0.009     ; 6.068      ;
; -5.041 ; T80se:z80_inst|T80:u0|F[7]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[2][7] ; SW[8]        ; SW[8]       ; 1.000        ; -0.018     ; 6.055      ;
; -5.040 ; T80se:z80_inst|T80:u0|F[7]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[1][7] ; SW[8]        ; SW[8]       ; 1.000        ; -0.018     ; 6.054      ;
; -5.040 ; T80se:z80_inst|T80:u0|F[6]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[4][5] ; SW[8]        ; SW[8]       ; 1.000        ; 0.000      ; 6.072      ;
; -5.037 ; T80se:z80_inst|T80:u0|IR[4]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[2][1] ; SW[8]        ; SW[8]       ; 1.000        ; -0.017     ; 6.052      ;
; -5.031 ; T80se:z80_inst|T80:u0|F[6]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[2][1] ; SW[8]        ; SW[8]       ; 1.000        ; -0.014     ; 6.049      ;
; -5.019 ; T80se:z80_inst|T80:u0|F[0]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[3][6] ; SW[8]        ; SW[8]       ; 1.000        ; -0.019     ; 6.032      ;
; -5.015 ; T80se:z80_inst|T80:u0|MCycle[2] ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[3][6] ; SW[8]        ; SW[8]       ; 1.000        ; -0.020     ; 6.027      ;
; -5.015 ; T80se:z80_inst|T80:u0|F[0]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[0][6] ; SW[8]        ; SW[8]       ; 1.000        ; -0.019     ; 6.028      ;
; -5.011 ; T80se:z80_inst|T80:u0|MCycle[2] ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[0][6] ; SW[8]        ; SW[8]       ; 1.000        ; -0.020     ; 6.023      ;
; -5.000 ; T80se:z80_inst|T80:u0|F[2]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[3][6] ; SW[8]        ; SW[8]       ; 1.000        ; -0.015     ; 6.017      ;
; -4.996 ; T80se:z80_inst|T80:u0|IR[4]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[2][3] ; SW[8]        ; SW[8]       ; 1.000        ; -0.007     ; 6.021      ;
; -4.996 ; T80se:z80_inst|T80:u0|IR[4]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[5][6] ; SW[8]        ; SW[8]       ; 1.000        ; -0.011     ; 6.017      ;
; -4.996 ; T80se:z80_inst|T80:u0|F[2]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[0][6] ; SW[8]        ; SW[8]       ; 1.000        ; -0.015     ; 6.013      ;
; -4.994 ; T80se:z80_inst|T80:u0|IR[4]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[6][6] ; SW[8]        ; SW[8]       ; 1.000        ; -0.011     ; 6.015      ;
; -4.993 ; T80se:z80_inst|T80:u0|IR[4]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[4][6] ; SW[8]        ; SW[8]       ; 1.000        ; -0.012     ; 6.013      ;
; -4.991 ; T80se:z80_inst|T80:u0|IR[4]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[0][4] ; SW[8]        ; SW[8]       ; 1.000        ; -0.018     ; 6.005      ;
; -4.990 ; T80se:z80_inst|T80:u0|IR[4]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[3][4] ; SW[8]        ; SW[8]       ; 1.000        ; -0.018     ; 6.004      ;
; -4.990 ; T80se:z80_inst|T80:u0|IR[4]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[7][6] ; SW[8]        ; SW[8]       ; 1.000        ; -0.012     ; 6.010      ;
; -4.990 ; T80se:z80_inst|T80:u0|F[6]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[2][3] ; SW[8]        ; SW[8]       ; 1.000        ; -0.004     ; 6.018      ;
; -4.990 ; T80se:z80_inst|T80:u0|F[6]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[5][6] ; SW[8]        ; SW[8]       ; 1.000        ; -0.008     ; 6.014      ;
; -4.988 ; T80se:z80_inst|T80:u0|F[0]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[7][4] ; SW[8]        ; SW[8]       ; 1.000        ; -0.004     ; 6.016      ;
; -4.988 ; T80se:z80_inst|T80:u0|F[0]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[4][4] ; SW[8]        ; SW[8]       ; 1.000        ; -0.004     ; 6.016      ;
; -4.988 ; T80se:z80_inst|T80:u0|F[6]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[6][6] ; SW[8]        ; SW[8]       ; 1.000        ; -0.008     ; 6.012      ;
; -4.987 ; T80se:z80_inst|T80:u0|F[6]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[4][6] ; SW[8]        ; SW[8]       ; 1.000        ; -0.009     ; 6.010      ;
; -4.986 ; T80se:z80_inst|T80:u0|IR[4]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[7][1] ; SW[8]        ; SW[8]       ; 1.000        ; -0.023     ; 5.995      ;
; -4.985 ; T80se:z80_inst|T80:u0|IR[4]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[4][1] ; SW[8]        ; SW[8]       ; 1.000        ; -0.023     ; 5.994      ;
; -4.985 ; T80se:z80_inst|T80:u0|IR[4]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[0][1] ; SW[8]        ; SW[8]       ; 1.000        ; -0.001     ; 6.016      ;
; -4.985 ; T80se:z80_inst|T80:u0|F[6]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[0][4] ; SW[8]        ; SW[8]       ; 1.000        ; -0.015     ; 6.002      ;
; -4.984 ; T80se:z80_inst|T80:u0|MCycle[2] ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[7][4] ; SW[8]        ; SW[8]       ; 1.000        ; -0.005     ; 6.011      ;
; -4.984 ; T80se:z80_inst|T80:u0|MCycle[2] ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[4][4] ; SW[8]        ; SW[8]       ; 1.000        ; -0.005     ; 6.011      ;
; -4.984 ; T80se:z80_inst|T80:u0|IR[2]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[2][7] ; SW[8]        ; SW[8]       ; 1.000        ; -0.019     ; 5.997      ;
; -4.984 ; T80se:z80_inst|T80:u0|F[7]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[3][6] ; SW[8]        ; SW[8]       ; 1.000        ; -0.019     ; 5.997      ;
; -4.984 ; T80se:z80_inst|T80:u0|F[6]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[3][4] ; SW[8]        ; SW[8]       ; 1.000        ; -0.015     ; 6.001      ;
; -4.984 ; T80se:z80_inst|T80:u0|F[6]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[7][6] ; SW[8]        ; SW[8]       ; 1.000        ; -0.009     ; 6.007      ;
; -4.983 ; T80se:z80_inst|T80:u0|IR[2]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[1][7] ; SW[8]        ; SW[8]       ; 1.000        ; -0.019     ; 5.996      ;
; -4.983 ; T80se:z80_inst|T80:u0|MCycle[0] ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[2][7] ; SW[8]        ; SW[8]       ; 1.000        ; -0.019     ; 5.996      ;
; -4.982 ; T80se:z80_inst|T80:u0|IR[4]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[1][0] ; SW[8]        ; SW[8]       ; 1.000        ; -0.017     ; 5.997      ;
; -4.982 ; T80se:z80_inst|T80:u0|IR[4]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[2][0] ; SW[8]        ; SW[8]       ; 1.000        ; -0.017     ; 5.997      ;
; -4.982 ; T80se:z80_inst|T80:u0|MCycle[0] ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[1][7] ; SW[8]        ; SW[8]       ; 1.000        ; -0.019     ; 5.995      ;
; -4.980 ; T80se:z80_inst|T80:u0|F[7]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[0][6] ; SW[8]        ; SW[8]       ; 1.000        ; -0.019     ; 5.993      ;
; -4.980 ; T80se:z80_inst|T80:u0|F[6]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[7][1] ; SW[8]        ; SW[8]       ; 1.000        ; -0.020     ; 5.992      ;
; -4.979 ; T80se:z80_inst|T80:u0|F[6]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[4][1] ; SW[8]        ; SW[8]       ; 1.000        ; -0.020     ; 5.991      ;
; -4.979 ; T80se:z80_inst|T80:u0|F[6]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[0][1] ; SW[8]        ; SW[8]       ; 1.000        ; 0.002      ; 6.013      ;
; -4.977 ; T80se:z80_inst|T80:u0|F[0]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[0][7] ; SW[8]        ; SW[8]       ; 1.000        ; -0.019     ; 5.990      ;
; -4.976 ; T80se:z80_inst|T80:u0|F[6]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[1][0] ; SW[8]        ; SW[8]       ; 1.000        ; -0.014     ; 5.994      ;
; -4.976 ; T80se:z80_inst|T80:u0|F[6]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[2][0] ; SW[8]        ; SW[8]       ; 1.000        ; -0.014     ; 5.994      ;
; -4.975 ; T80se:z80_inst|T80:u0|F[0]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[3][7] ; SW[8]        ; SW[8]       ; 1.000        ; -0.019     ; 5.988      ;
; -4.973 ; T80se:z80_inst|T80:u0|MCycle[2] ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[0][7] ; SW[8]        ; SW[8]       ; 1.000        ; -0.020     ; 5.985      ;
; -4.973 ; T80se:z80_inst|T80:u0|F[0]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[3][5] ; SW[8]        ; SW[8]       ; 1.000        ; -0.004     ; 6.001      ;
; -4.971 ; T80se:z80_inst|T80:u0|MCycle[2] ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[3][7] ; SW[8]        ; SW[8]       ; 1.000        ; -0.020     ; 5.983      ;
; -4.969 ; T80se:z80_inst|T80:u0|MCycle[2] ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[3][5] ; SW[8]        ; SW[8]       ; 1.000        ; -0.005     ; 5.996      ;
; -4.969 ; T80se:z80_inst|T80:u0|F[2]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[7][4] ; SW[8]        ; SW[8]       ; 1.000        ; 0.000      ; 6.001      ;
; -4.969 ; T80se:z80_inst|T80:u0|F[2]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[4][4] ; SW[8]        ; SW[8]       ; 1.000        ; 0.000      ; 6.001      ;
; -4.968 ; T80se:z80_inst|T80:u0|F[0]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[1][3] ; SW[8]        ; SW[8]       ; 1.000        ; -0.013     ; 5.987      ;
; -4.966 ; T80se:z80_inst|T80:u0|F[0]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[0][5] ; SW[8]        ; SW[8]       ; 1.000        ; -0.004     ; 5.994      ;
; -4.964 ; T80se:z80_inst|T80:u0|MCycle[2] ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[1][3] ; SW[8]        ; SW[8]       ; 1.000        ; -0.014     ; 5.982      ;
; -4.964 ; T80se:z80_inst|T80:u0|F[0]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[7][7] ; SW[8]        ; SW[8]       ; 1.000        ; -0.013     ; 5.983      ;
; -4.962 ; T80se:z80_inst|T80:u0|MCycle[2] ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[0][5] ; SW[8]        ; SW[8]       ; 1.000        ; -0.005     ; 5.989      ;
; -4.961 ; T80se:z80_inst|T80:u0|IR[4]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[5][0] ; SW[8]        ; SW[8]       ; 1.000        ; -0.011     ; 5.982      ;
; -4.960 ; T80se:z80_inst|T80:u0|MCycle[2] ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[7][7] ; SW[8]        ; SW[8]       ; 1.000        ; -0.014     ; 5.978      ;
; -4.960 ; T80se:z80_inst|T80:u0|F[0]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[7][5] ; SW[8]        ; SW[8]       ; 1.000        ; -0.004     ; 5.988      ;
; -4.960 ; T80se:z80_inst|T80:u0|F[0]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[4][7] ; SW[8]        ; SW[8]       ; 1.000        ; -0.013     ; 5.979      ;
; -4.958 ; T80se:z80_inst|T80:u0|IR[4]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[6][0] ; SW[8]        ; SW[8]       ; 1.000        ; -0.011     ; 5.979      ;
; -4.958 ; T80se:z80_inst|T80:u0|F[2]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[0][7] ; SW[8]        ; SW[8]       ; 1.000        ; -0.015     ; 5.975      ;
; -4.956 ; T80se:z80_inst|T80:u0|MCycle[2] ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[7][5] ; SW[8]        ; SW[8]       ; 1.000        ; -0.005     ; 5.983      ;
+--------+---------------------------------+------------------------------------------------+--------------+-------------+--------------+------------+------------+


+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Fast Model Setup: 'clk_div:clkdiv_inst|clock_25MHz'                                                                                                                                                                                                                                                                                                                                                        ;
+--------+--------------------------------------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------------------------------+---------------------------------+---------------------------------+--------------+------------+------------+
; Slack  ; From Node                                                                                                                                  ; To Node                                                                                                                                 ; Launch Clock                    ; Latch Clock                     ; Relationship ; Clock Skew ; Data Delay ;
+--------+--------------------------------------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------------------------------+---------------------------------+---------------------------------+--------------+------------+------------+
; -2.493 ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_66l1:auto_generated|altsyncram_pal1:altsyncram1|ram_block2a6~porta_address_reg0  ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a5~porta_address_reg9 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.002     ; 3.490      ;
; -2.493 ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_66l1:auto_generated|altsyncram_pal1:altsyncram1|ram_block2a6~porta_address_reg1  ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a5~porta_address_reg9 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.002     ; 3.490      ;
; -2.493 ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_66l1:auto_generated|altsyncram_pal1:altsyncram1|ram_block2a6~porta_address_reg2  ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a5~porta_address_reg9 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.002     ; 3.490      ;
; -2.493 ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_66l1:auto_generated|altsyncram_pal1:altsyncram1|ram_block2a6~porta_address_reg3  ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a5~porta_address_reg9 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.002     ; 3.490      ;
; -2.493 ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_66l1:auto_generated|altsyncram_pal1:altsyncram1|ram_block2a6~porta_address_reg4  ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a5~porta_address_reg9 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.002     ; 3.490      ;
; -2.493 ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_66l1:auto_generated|altsyncram_pal1:altsyncram1|ram_block2a6~porta_address_reg5  ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a5~porta_address_reg9 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.002     ; 3.490      ;
; -2.493 ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_66l1:auto_generated|altsyncram_pal1:altsyncram1|ram_block2a6~porta_address_reg6  ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a5~porta_address_reg9 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.002     ; 3.490      ;
; -2.493 ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_66l1:auto_generated|altsyncram_pal1:altsyncram1|ram_block2a6~porta_address_reg7  ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a5~porta_address_reg9 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.002     ; 3.490      ;
; -2.493 ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_66l1:auto_generated|altsyncram_pal1:altsyncram1|ram_block2a6~porta_address_reg8  ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a5~porta_address_reg9 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.002     ; 3.490      ;
; -2.493 ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_66l1:auto_generated|altsyncram_pal1:altsyncram1|ram_block2a6~porta_address_reg9  ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a5~porta_address_reg9 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.002     ; 3.490      ;
; -2.493 ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_66l1:auto_generated|altsyncram_pal1:altsyncram1|ram_block2a6~porta_address_reg10 ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a5~porta_address_reg9 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.002     ; 3.490      ;
; -2.493 ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_66l1:auto_generated|altsyncram_pal1:altsyncram1|ram_block2a6~porta_address_reg11 ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a5~porta_address_reg9 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.002     ; 3.490      ;
; -2.490 ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a5~porta_address_reg0    ; video:video_inst|VGA_SYNC:vga_sync_inst|blue_out[2]                                                                                     ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.068     ; 3.454      ;
; -2.490 ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a5~porta_address_reg1    ; video:video_inst|VGA_SYNC:vga_sync_inst|blue_out[2]                                                                                     ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.068     ; 3.454      ;
; -2.490 ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a5~porta_address_reg2    ; video:video_inst|VGA_SYNC:vga_sync_inst|blue_out[2]                                                                                     ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.068     ; 3.454      ;
; -2.490 ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a5~porta_address_reg3    ; video:video_inst|VGA_SYNC:vga_sync_inst|blue_out[2]                                                                                     ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.068     ; 3.454      ;
; -2.490 ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a5~porta_address_reg4    ; video:video_inst|VGA_SYNC:vga_sync_inst|blue_out[2]                                                                                     ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.068     ; 3.454      ;
; -2.490 ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a5~porta_address_reg5    ; video:video_inst|VGA_SYNC:vga_sync_inst|blue_out[2]                                                                                     ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.068     ; 3.454      ;
; -2.490 ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a5~porta_address_reg6    ; video:video_inst|VGA_SYNC:vga_sync_inst|blue_out[2]                                                                                     ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.068     ; 3.454      ;
; -2.490 ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a5~porta_address_reg7    ; video:video_inst|VGA_SYNC:vga_sync_inst|blue_out[2]                                                                                     ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.068     ; 3.454      ;
; -2.490 ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a5~porta_address_reg8    ; video:video_inst|VGA_SYNC:vga_sync_inst|blue_out[2]                                                                                     ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.068     ; 3.454      ;
; -2.490 ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a5~porta_address_reg9    ; video:video_inst|VGA_SYNC:vga_sync_inst|blue_out[2]                                                                                     ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.068     ; 3.454      ;
; -2.490 ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a5~porta_address_reg10   ; video:video_inst|VGA_SYNC:vga_sync_inst|blue_out[2]                                                                                     ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.068     ; 3.454      ;
; -2.488 ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a5~porta_address_reg0    ; video:video_inst|VGA_SYNC:vga_sync_inst|blue_out[1]                                                                                     ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.068     ; 3.452      ;
; -2.488 ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a5~porta_address_reg1    ; video:video_inst|VGA_SYNC:vga_sync_inst|blue_out[1]                                                                                     ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.068     ; 3.452      ;
; -2.488 ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a5~porta_address_reg2    ; video:video_inst|VGA_SYNC:vga_sync_inst|blue_out[1]                                                                                     ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.068     ; 3.452      ;
; -2.488 ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a5~porta_address_reg3    ; video:video_inst|VGA_SYNC:vga_sync_inst|blue_out[1]                                                                                     ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.068     ; 3.452      ;
; -2.488 ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a5~porta_address_reg4    ; video:video_inst|VGA_SYNC:vga_sync_inst|blue_out[1]                                                                                     ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.068     ; 3.452      ;
; -2.488 ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a5~porta_address_reg5    ; video:video_inst|VGA_SYNC:vga_sync_inst|blue_out[1]                                                                                     ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.068     ; 3.452      ;
; -2.488 ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a5~porta_address_reg6    ; video:video_inst|VGA_SYNC:vga_sync_inst|blue_out[1]                                                                                     ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.068     ; 3.452      ;
; -2.488 ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a5~porta_address_reg7    ; video:video_inst|VGA_SYNC:vga_sync_inst|blue_out[1]                                                                                     ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.068     ; 3.452      ;
; -2.488 ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a5~porta_address_reg8    ; video:video_inst|VGA_SYNC:vga_sync_inst|blue_out[1]                                                                                     ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.068     ; 3.452      ;
; -2.488 ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a5~porta_address_reg9    ; video:video_inst|VGA_SYNC:vga_sync_inst|blue_out[1]                                                                                     ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.068     ; 3.452      ;
; -2.488 ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a5~porta_address_reg10   ; video:video_inst|VGA_SYNC:vga_sync_inst|blue_out[1]                                                                                     ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.068     ; 3.452      ;
; -2.487 ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a5~porta_address_reg0    ; video:video_inst|VGA_SYNC:vga_sync_inst|blue_out[0]                                                                                     ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.068     ; 3.451      ;
; -2.487 ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a5~porta_address_reg1    ; video:video_inst|VGA_SYNC:vga_sync_inst|blue_out[0]                                                                                     ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.068     ; 3.451      ;
; -2.487 ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a5~porta_address_reg2    ; video:video_inst|VGA_SYNC:vga_sync_inst|blue_out[0]                                                                                     ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.068     ; 3.451      ;
; -2.487 ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a5~porta_address_reg3    ; video:video_inst|VGA_SYNC:vga_sync_inst|blue_out[0]                                                                                     ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.068     ; 3.451      ;
; -2.487 ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a5~porta_address_reg4    ; video:video_inst|VGA_SYNC:vga_sync_inst|blue_out[0]                                                                                     ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.068     ; 3.451      ;
; -2.487 ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a5~porta_address_reg5    ; video:video_inst|VGA_SYNC:vga_sync_inst|blue_out[0]                                                                                     ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.068     ; 3.451      ;
; -2.487 ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a5~porta_address_reg6    ; video:video_inst|VGA_SYNC:vga_sync_inst|blue_out[0]                                                                                     ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.068     ; 3.451      ;
; -2.487 ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a5~porta_address_reg7    ; video:video_inst|VGA_SYNC:vga_sync_inst|blue_out[0]                                                                                     ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.068     ; 3.451      ;
; -2.487 ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a5~porta_address_reg8    ; video:video_inst|VGA_SYNC:vga_sync_inst|blue_out[0]                                                                                     ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.068     ; 3.451      ;
; -2.487 ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a5~porta_address_reg9    ; video:video_inst|VGA_SYNC:vga_sync_inst|blue_out[0]                                                                                     ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.068     ; 3.451      ;
; -2.487 ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a5~porta_address_reg10   ; video:video_inst|VGA_SYNC:vga_sync_inst|blue_out[0]                                                                                     ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.068     ; 3.451      ;
; -2.483 ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_66l1:auto_generated|altsyncram_pal1:altsyncram1|ram_block2a6~porta_address_reg0  ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a0~porta_address_reg9 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.008     ; 3.474      ;
; -2.483 ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_66l1:auto_generated|altsyncram_pal1:altsyncram1|ram_block2a6~porta_address_reg1  ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a0~porta_address_reg9 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.008     ; 3.474      ;
; -2.483 ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_66l1:auto_generated|altsyncram_pal1:altsyncram1|ram_block2a6~porta_address_reg2  ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a0~porta_address_reg9 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.008     ; 3.474      ;
; -2.483 ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_66l1:auto_generated|altsyncram_pal1:altsyncram1|ram_block2a6~porta_address_reg3  ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a0~porta_address_reg9 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.008     ; 3.474      ;
; -2.483 ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_66l1:auto_generated|altsyncram_pal1:altsyncram1|ram_block2a6~porta_address_reg4  ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a0~porta_address_reg9 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.008     ; 3.474      ;
; -2.483 ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_66l1:auto_generated|altsyncram_pal1:altsyncram1|ram_block2a6~porta_address_reg5  ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a0~porta_address_reg9 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.008     ; 3.474      ;
; -2.483 ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_66l1:auto_generated|altsyncram_pal1:altsyncram1|ram_block2a6~porta_address_reg6  ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a0~porta_address_reg9 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.008     ; 3.474      ;
; -2.483 ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_66l1:auto_generated|altsyncram_pal1:altsyncram1|ram_block2a6~porta_address_reg7  ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a0~porta_address_reg9 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.008     ; 3.474      ;
; -2.483 ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_66l1:auto_generated|altsyncram_pal1:altsyncram1|ram_block2a6~porta_address_reg8  ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a0~porta_address_reg9 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.008     ; 3.474      ;
; -2.483 ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_66l1:auto_generated|altsyncram_pal1:altsyncram1|ram_block2a6~porta_address_reg9  ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a0~porta_address_reg9 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.008     ; 3.474      ;
; -2.483 ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_66l1:auto_generated|altsyncram_pal1:altsyncram1|ram_block2a6~porta_address_reg10 ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a0~porta_address_reg9 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.008     ; 3.474      ;
; -2.483 ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_66l1:auto_generated|altsyncram_pal1:altsyncram1|ram_block2a6~porta_address_reg11 ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a0~porta_address_reg9 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.008     ; 3.474      ;
; -2.479 ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a0~porta_address_reg0    ; video:video_inst|VGA_SYNC:vga_sync_inst|blue_out[2]                                                                                     ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.062     ; 3.449      ;
; -2.479 ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a0~porta_address_reg1    ; video:video_inst|VGA_SYNC:vga_sync_inst|blue_out[2]                                                                                     ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.062     ; 3.449      ;
; -2.479 ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a0~porta_address_reg2    ; video:video_inst|VGA_SYNC:vga_sync_inst|blue_out[2]                                                                                     ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.062     ; 3.449      ;
; -2.479 ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a0~porta_address_reg3    ; video:video_inst|VGA_SYNC:vga_sync_inst|blue_out[2]                                                                                     ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.062     ; 3.449      ;
; -2.479 ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a0~porta_address_reg4    ; video:video_inst|VGA_SYNC:vga_sync_inst|blue_out[2]                                                                                     ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.062     ; 3.449      ;
; -2.479 ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a0~porta_address_reg5    ; video:video_inst|VGA_SYNC:vga_sync_inst|blue_out[2]                                                                                     ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.062     ; 3.449      ;
; -2.479 ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a0~porta_address_reg6    ; video:video_inst|VGA_SYNC:vga_sync_inst|blue_out[2]                                                                                     ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.062     ; 3.449      ;
; -2.479 ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a0~porta_address_reg7    ; video:video_inst|VGA_SYNC:vga_sync_inst|blue_out[2]                                                                                     ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.062     ; 3.449      ;
; -2.479 ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a0~porta_address_reg8    ; video:video_inst|VGA_SYNC:vga_sync_inst|blue_out[2]                                                                                     ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.062     ; 3.449      ;
; -2.479 ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a0~porta_address_reg9    ; video:video_inst|VGA_SYNC:vga_sync_inst|blue_out[2]                                                                                     ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.062     ; 3.449      ;
; -2.479 ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a0~porta_address_reg10   ; video:video_inst|VGA_SYNC:vga_sync_inst|blue_out[2]                                                                                     ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.062     ; 3.449      ;
; -2.477 ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a0~porta_address_reg0    ; video:video_inst|VGA_SYNC:vga_sync_inst|blue_out[1]                                                                                     ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.062     ; 3.447      ;
; -2.477 ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a0~porta_address_reg1    ; video:video_inst|VGA_SYNC:vga_sync_inst|blue_out[1]                                                                                     ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.062     ; 3.447      ;
; -2.477 ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a0~porta_address_reg2    ; video:video_inst|VGA_SYNC:vga_sync_inst|blue_out[1]                                                                                     ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.062     ; 3.447      ;
; -2.477 ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a0~porta_address_reg3    ; video:video_inst|VGA_SYNC:vga_sync_inst|blue_out[1]                                                                                     ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.062     ; 3.447      ;
; -2.477 ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a0~porta_address_reg4    ; video:video_inst|VGA_SYNC:vga_sync_inst|blue_out[1]                                                                                     ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.062     ; 3.447      ;
; -2.477 ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a0~porta_address_reg5    ; video:video_inst|VGA_SYNC:vga_sync_inst|blue_out[1]                                                                                     ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.062     ; 3.447      ;
; -2.477 ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a0~porta_address_reg6    ; video:video_inst|VGA_SYNC:vga_sync_inst|blue_out[1]                                                                                     ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.062     ; 3.447      ;
; -2.477 ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a0~porta_address_reg7    ; video:video_inst|VGA_SYNC:vga_sync_inst|blue_out[1]                                                                                     ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.062     ; 3.447      ;
; -2.477 ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a0~porta_address_reg8    ; video:video_inst|VGA_SYNC:vga_sync_inst|blue_out[1]                                                                                     ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.062     ; 3.447      ;
; -2.477 ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a0~porta_address_reg9    ; video:video_inst|VGA_SYNC:vga_sync_inst|blue_out[1]                                                                                     ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.062     ; 3.447      ;
; -2.477 ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a0~porta_address_reg10   ; video:video_inst|VGA_SYNC:vga_sync_inst|blue_out[1]                                                                                     ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.062     ; 3.447      ;
; -2.476 ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a0~porta_address_reg0    ; video:video_inst|VGA_SYNC:vga_sync_inst|blue_out[0]                                                                                     ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.062     ; 3.446      ;
; -2.476 ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a0~porta_address_reg1    ; video:video_inst|VGA_SYNC:vga_sync_inst|blue_out[0]                                                                                     ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.062     ; 3.446      ;
; -2.476 ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a0~porta_address_reg2    ; video:video_inst|VGA_SYNC:vga_sync_inst|blue_out[0]                                                                                     ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.062     ; 3.446      ;
; -2.476 ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a0~porta_address_reg3    ; video:video_inst|VGA_SYNC:vga_sync_inst|blue_out[0]                                                                                     ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.062     ; 3.446      ;
; -2.476 ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a0~porta_address_reg4    ; video:video_inst|VGA_SYNC:vga_sync_inst|blue_out[0]                                                                                     ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.062     ; 3.446      ;
; -2.476 ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a0~porta_address_reg5    ; video:video_inst|VGA_SYNC:vga_sync_inst|blue_out[0]                                                                                     ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.062     ; 3.446      ;
; -2.476 ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a0~porta_address_reg6    ; video:video_inst|VGA_SYNC:vga_sync_inst|blue_out[0]                                                                                     ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.062     ; 3.446      ;
; -2.476 ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a0~porta_address_reg7    ; video:video_inst|VGA_SYNC:vga_sync_inst|blue_out[0]                                                                                     ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.062     ; 3.446      ;
; -2.476 ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a0~porta_address_reg8    ; video:video_inst|VGA_SYNC:vga_sync_inst|blue_out[0]                                                                                     ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.062     ; 3.446      ;
; -2.476 ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a0~porta_address_reg9    ; video:video_inst|VGA_SYNC:vga_sync_inst|blue_out[0]                                                                                     ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.062     ; 3.446      ;
; -2.476 ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a0~porta_address_reg10   ; video:video_inst|VGA_SYNC:vga_sync_inst|blue_out[0]                                                                                     ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.062     ; 3.446      ;
; -2.475 ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_66l1:auto_generated|altsyncram_pal1:altsyncram1|ram_block2a6~porta_address_reg0  ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a1~porta_address_reg9 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.003     ; 3.471      ;
; -2.475 ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_66l1:auto_generated|altsyncram_pal1:altsyncram1|ram_block2a6~porta_address_reg1  ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a1~porta_address_reg9 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.003     ; 3.471      ;
; -2.475 ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_66l1:auto_generated|altsyncram_pal1:altsyncram1|ram_block2a6~porta_address_reg2  ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a1~porta_address_reg9 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.003     ; 3.471      ;
; -2.475 ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_66l1:auto_generated|altsyncram_pal1:altsyncram1|ram_block2a6~porta_address_reg3  ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a1~porta_address_reg9 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.003     ; 3.471      ;
; -2.475 ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_66l1:auto_generated|altsyncram_pal1:altsyncram1|ram_block2a6~porta_address_reg4  ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a1~porta_address_reg9 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.003     ; 3.471      ;
; -2.475 ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_66l1:auto_generated|altsyncram_pal1:altsyncram1|ram_block2a6~porta_address_reg5  ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a1~porta_address_reg9 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.003     ; 3.471      ;
; -2.475 ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_66l1:auto_generated|altsyncram_pal1:altsyncram1|ram_block2a6~porta_address_reg6  ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a1~porta_address_reg9 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.003     ; 3.471      ;
; -2.475 ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_66l1:auto_generated|altsyncram_pal1:altsyncram1|ram_block2a6~porta_address_reg7  ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a1~porta_address_reg9 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.003     ; 3.471      ;
; -2.475 ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_66l1:auto_generated|altsyncram_pal1:altsyncram1|ram_block2a6~porta_address_reg8  ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a1~porta_address_reg9 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.003     ; 3.471      ;
; -2.475 ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_66l1:auto_generated|altsyncram_pal1:altsyncram1|ram_block2a6~porta_address_reg9  ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a1~porta_address_reg9 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.003     ; 3.471      ;
+--------+--------------------------------------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------------------------------+---------------------------------+---------------------------------+--------------+------------+------------+


+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Fast Model Setup: 'CLOCK_50'                                                                                                                                                                                                                   ;
+--------+----------------------------------------------------+-------------------------------------------------------------+-------------------------------------------------------------+-------------+--------------+------------+------------+
; Slack  ; From Node                                          ; To Node                                                     ; Launch Clock                                                ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ;
+--------+----------------------------------------------------+-------------------------------------------------------------+-------------------------------------------------------------+-------------+--------------+------------+------------+
; -1.492 ; ps2kbd:ps2_kbd_inst|caps[0]                        ; ps2_ascii_reg1[4]                                           ; clk_div:clkdiv_inst|clock_100Hz                             ; CLOCK_50    ; 1.000        ; 0.213      ; 2.737      ;
; -1.473 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[3] ; ps2_ascii_reg1[4]                                           ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; 0.280      ; 2.785      ;
; -1.441 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[1] ; ps2_ascii_reg1[4]                                           ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; 0.280      ; 2.753      ;
; -1.424 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[0] ; ps2_ascii_reg1[4]                                           ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; 0.280      ; 2.736      ;
; -1.419 ; ps2kbd:ps2_kbd_inst|caps[0]                        ; ps2_ascii_reg1[2]                                           ; clk_div:clkdiv_inst|clock_100Hz                             ; CLOCK_50    ; 1.000        ; 0.213      ; 2.664      ;
; -1.419 ; ps2kbd:ps2_kbd_inst|caps[0]                        ; ps2_ascii_reg1[6]                                           ; clk_div:clkdiv_inst|clock_100Hz                             ; CLOCK_50    ; 1.000        ; 0.213      ; 2.664      ;
; -1.419 ; ps2kbd:ps2_kbd_inst|caps[0]                        ; ps2_ascii_reg1[5]                                           ; clk_div:clkdiv_inst|clock_100Hz                             ; CLOCK_50    ; 1.000        ; 0.213      ; 2.664      ;
; -1.419 ; ps2kbd:ps2_kbd_inst|caps[0]                        ; ps2_ascii_reg1[1]                                           ; clk_div:clkdiv_inst|clock_100Hz                             ; CLOCK_50    ; 1.000        ; 0.213      ; 2.664      ;
; -1.419 ; ps2kbd:ps2_kbd_inst|caps[0]                        ; ps2_ascii_reg1[3]                                           ; clk_div:clkdiv_inst|clock_100Hz                             ; CLOCK_50    ; 1.000        ; 0.213      ; 2.664      ;
; -1.419 ; ps2kbd:ps2_kbd_inst|caps[0]                        ; ps2_ascii_reg1[0]                                           ; clk_div:clkdiv_inst|clock_100Hz                             ; CLOCK_50    ; 1.000        ; 0.213      ; 2.664      ;
; -1.419 ; ps2kbd:ps2_kbd_inst|caps[0]                        ; ps2_ascii_reg1[7]                                           ; clk_div:clkdiv_inst|clock_100Hz                             ; CLOCK_50    ; 1.000        ; 0.213      ; 2.664      ;
; -1.400 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[3] ; ps2_ascii_reg1[2]                                           ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; 0.280      ; 2.712      ;
; -1.400 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[3] ; ps2_ascii_reg1[6]                                           ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; 0.280      ; 2.712      ;
; -1.400 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[3] ; ps2_ascii_reg1[5]                                           ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; 0.280      ; 2.712      ;
; -1.400 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[3] ; ps2_ascii_reg1[1]                                           ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; 0.280      ; 2.712      ;
; -1.400 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[3] ; ps2_ascii_reg1[3]                                           ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; 0.280      ; 2.712      ;
; -1.400 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[3] ; ps2_ascii_reg1[0]                                           ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; 0.280      ; 2.712      ;
; -1.400 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[3] ; ps2_ascii_reg1[7]                                           ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; 0.280      ; 2.712      ;
; -1.394 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[5] ; ps2_ascii_reg1[4]                                           ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; 0.280      ; 2.706      ;
; -1.368 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[1] ; ps2_ascii_reg1[2]                                           ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; 0.280      ; 2.680      ;
; -1.368 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[1] ; ps2_ascii_reg1[6]                                           ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; 0.280      ; 2.680      ;
; -1.368 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[1] ; ps2_ascii_reg1[5]                                           ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; 0.280      ; 2.680      ;
; -1.368 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[1] ; ps2_ascii_reg1[1]                                           ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; 0.280      ; 2.680      ;
; -1.368 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[1] ; ps2_ascii_reg1[3]                                           ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; 0.280      ; 2.680      ;
; -1.368 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[1] ; ps2_ascii_reg1[0]                                           ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; 0.280      ; 2.680      ;
; -1.368 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[1] ; ps2_ascii_reg1[7]                                           ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; 0.280      ; 2.680      ;
; -1.360 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[4] ; ps2_ascii_reg1[4]                                           ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; 0.280      ; 2.672      ;
; -1.351 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[0] ; ps2_ascii_reg1[2]                                           ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; 0.280      ; 2.663      ;
; -1.351 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[0] ; ps2_ascii_reg1[6]                                           ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; 0.280      ; 2.663      ;
; -1.351 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[0] ; ps2_ascii_reg1[5]                                           ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; 0.280      ; 2.663      ;
; -1.351 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[0] ; ps2_ascii_reg1[1]                                           ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; 0.280      ; 2.663      ;
; -1.351 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[0] ; ps2_ascii_reg1[3]                                           ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; 0.280      ; 2.663      ;
; -1.351 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[0] ; ps2_ascii_reg1[0]                                           ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; 0.280      ; 2.663      ;
; -1.351 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[0] ; ps2_ascii_reg1[7]                                           ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; 0.280      ; 2.663      ;
; -1.321 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[5] ; ps2_ascii_reg1[2]                                           ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; 0.280      ; 2.633      ;
; -1.321 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[5] ; ps2_ascii_reg1[6]                                           ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; 0.280      ; 2.633      ;
; -1.321 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[5] ; ps2_ascii_reg1[5]                                           ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; 0.280      ; 2.633      ;
; -1.321 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[5] ; ps2_ascii_reg1[1]                                           ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; 0.280      ; 2.633      ;
; -1.321 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[5] ; ps2_ascii_reg1[3]                                           ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; 0.280      ; 2.633      ;
; -1.321 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[5] ; ps2_ascii_reg1[0]                                           ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; 0.280      ; 2.633      ;
; -1.321 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[5] ; ps2_ascii_reg1[7]                                           ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; 0.280      ; 2.633      ;
; -1.287 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[4] ; ps2_ascii_reg1[2]                                           ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; 0.280      ; 2.599      ;
; -1.287 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[4] ; ps2_ascii_reg1[6]                                           ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; 0.280      ; 2.599      ;
; -1.287 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[4] ; ps2_ascii_reg1[5]                                           ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; 0.280      ; 2.599      ;
; -1.287 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[4] ; ps2_ascii_reg1[1]                                           ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; 0.280      ; 2.599      ;
; -1.287 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[4] ; ps2_ascii_reg1[3]                                           ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; 0.280      ; 2.599      ;
; -1.287 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[4] ; ps2_ascii_reg1[0]                                           ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; 0.280      ; 2.599      ;
; -1.287 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[4] ; ps2_ascii_reg1[7]                                           ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; 0.280      ; 2.599      ;
; -1.263 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[2] ; ps2_ascii_reg1[4]                                           ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; 0.280      ; 2.575      ;
; -1.244 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[6] ; ps2_ascii_reg1[4]                                           ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; 0.280      ; 2.556      ;
; -1.207 ; ps2kbd:ps2_kbd_inst|caps[0]                        ; ps2_read                                                    ; clk_div:clkdiv_inst|clock_100Hz                             ; CLOCK_50    ; 1.000        ; 0.213      ; 2.452      ;
; -1.200 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[1] ; ps2_read                                                    ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; 0.280      ; 2.512      ;
; -1.190 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[2] ; ps2_ascii_reg1[2]                                           ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; 0.280      ; 2.502      ;
; -1.190 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[2] ; ps2_ascii_reg1[6]                                           ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; 0.280      ; 2.502      ;
; -1.190 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[2] ; ps2_ascii_reg1[5]                                           ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; 0.280      ; 2.502      ;
; -1.190 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[2] ; ps2_ascii_reg1[1]                                           ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; 0.280      ; 2.502      ;
; -1.190 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[2] ; ps2_ascii_reg1[3]                                           ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; 0.280      ; 2.502      ;
; -1.190 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[2] ; ps2_ascii_reg1[0]                                           ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; 0.280      ; 2.502      ;
; -1.190 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[2] ; ps2_ascii_reg1[7]                                           ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; 0.280      ; 2.502      ;
; -1.175 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[3] ; ps2_read                                                    ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; 0.280      ; 2.487      ;
; -1.171 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[6] ; ps2_ascii_reg1[2]                                           ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; 0.280      ; 2.483      ;
; -1.171 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[6] ; ps2_ascii_reg1[6]                                           ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; 0.280      ; 2.483      ;
; -1.171 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[6] ; ps2_ascii_reg1[5]                                           ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; 0.280      ; 2.483      ;
; -1.171 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[6] ; ps2_ascii_reg1[1]                                           ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; 0.280      ; 2.483      ;
; -1.171 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[6] ; ps2_ascii_reg1[3]                                           ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; 0.280      ; 2.483      ;
; -1.171 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[6] ; ps2_ascii_reg1[0]                                           ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; 0.280      ; 2.483      ;
; -1.171 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[6] ; ps2_ascii_reg1[7]                                           ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; 0.280      ; 2.483      ;
; -1.119 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[4] ; ps2_read                                                    ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; 0.280      ; 2.431      ;
; -1.098 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[5] ; ps2_read                                                    ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; 0.280      ; 2.410      ;
; -1.095 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[0] ; ps2_read                                                    ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; 0.280      ; 2.407      ;
; -1.022 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[2] ; ps2_read                                                    ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; 0.280      ; 2.334      ;
; -0.932 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[6] ; ps2_read                                                    ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; 0.280      ; 2.244      ;
; -0.346 ; ps2_read                                           ; ps2_ascii_reg1[4]                                           ; CLOCK_50                                                    ; CLOCK_50    ; 1.000        ; 0.000      ; 1.378      ;
; -0.273 ; ps2_read                                           ; ps2_ascii_reg1[2]                                           ; CLOCK_50                                                    ; CLOCK_50    ; 1.000        ; 0.000      ; 1.305      ;
; -0.273 ; ps2_read                                           ; ps2_ascii_reg1[6]                                           ; CLOCK_50                                                    ; CLOCK_50    ; 1.000        ; 0.000      ; 1.305      ;
; -0.273 ; ps2_read                                           ; ps2_ascii_reg1[5]                                           ; CLOCK_50                                                    ; CLOCK_50    ; 1.000        ; 0.000      ; 1.305      ;
; -0.273 ; ps2_read                                           ; ps2_ascii_reg1[1]                                           ; CLOCK_50                                                    ; CLOCK_50    ; 1.000        ; 0.000      ; 1.305      ;
; -0.273 ; ps2_read                                           ; ps2_ascii_reg1[3]                                           ; CLOCK_50                                                    ; CLOCK_50    ; 1.000        ; 0.000      ; 1.305      ;
; -0.273 ; ps2_read                                           ; ps2_ascii_reg1[0]                                           ; CLOCK_50                                                    ; CLOCK_50    ; 1.000        ; 0.000      ; 1.305      ;
; -0.273 ; ps2_read                                           ; ps2_ascii_reg1[7]                                           ; CLOCK_50                                                    ; CLOCK_50    ; 1.000        ; 0.000      ; 1.305      ;
; -0.255 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[7] ; ps2_ascii_reg1[4]                                           ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; 0.286      ; 1.573      ;
; -0.182 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[7] ; ps2_ascii_reg1[2]                                           ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; 0.286      ; 1.500      ;
; -0.182 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[7] ; ps2_ascii_reg1[6]                                           ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; 0.286      ; 1.500      ;
; -0.182 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[7] ; ps2_ascii_reg1[5]                                           ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; 0.286      ; 1.500      ;
; -0.182 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[7] ; ps2_ascii_reg1[1]                                           ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; 0.286      ; 1.500      ;
; -0.182 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[7] ; ps2_ascii_reg1[3]                                           ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; 0.286      ; 1.500      ;
; -0.182 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[7] ; ps2_ascii_reg1[0]                                           ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; 0.286      ; 1.500      ;
; -0.182 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[7] ; ps2_ascii_reg1[7]                                           ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; 0.286      ; 1.500      ;
; -0.014 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[7] ; ps2_read                                                    ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; 0.286      ; 1.332      ;
; 0.041  ; \random:rand_temp[13]                              ; \random:rand_temp[14]                                       ; CLOCK_50                                                    ; CLOCK_50    ; 1.000        ; 0.000      ; 0.991      ;
; 0.125  ; \random:rand_temp[12]                              ; \random:rand_temp[13]                                       ; CLOCK_50                                                    ; CLOCK_50    ; 1.000        ; 0.000      ; 0.907      ;
; 0.139  ; ps2_read                                           ; ps2_read                                                    ; CLOCK_50                                                    ; CLOCK_50    ; 1.000        ; 0.000      ; 0.893      ;
; 0.214  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|filter[7]    ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50                                                    ; CLOCK_50    ; 1.000        ; 0.000      ; 0.818      ;
; 0.228  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|filter[2]    ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50                                                    ; CLOCK_50    ; 1.000        ; 0.000      ; 0.804      ;
; 0.298  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|filter[5]    ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50                                                    ; CLOCK_50    ; 1.000        ; 0.000      ; 0.734      ;
; 0.302  ; clk_div:clkdiv_inst|count_357Mhz[2]                ; clk_div:clkdiv_inst|count_357Mhz[3]                         ; CLOCK_50                                                    ; CLOCK_50    ; 1.000        ; 0.000      ; 0.730      ;
; 0.306  ; clk_div:clkdiv_inst|count_10Mhz[0]                 ; clk_div:clkdiv_inst|clock_10Mhz_int                         ; CLOCK_50                                                    ; CLOCK_50    ; 1.000        ; 0.000      ; 0.726      ;
; 0.323  ; clk_div:clkdiv_inst|count_357Mhz[2]                ; clk_div:clkdiv_inst|count_357Mhz[0]                         ; CLOCK_50                                                    ; CLOCK_50    ; 1.000        ; 0.000      ; 0.709      ;
; 0.323  ; clk_div:clkdiv_inst|count_357Mhz[2]                ; clk_div:clkdiv_inst|clock_357Mhz_int                        ; CLOCK_50                                                    ; CLOCK_50    ; 1.000        ; 0.000      ; 0.709      ;
; 0.343  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|filter[4]    ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50                                                    ; CLOCK_50    ; 1.000        ; 0.000      ; 0.689      ;
+--------+----------------------------------------------------+-------------------------------------------------------------+-------------------------------------------------------------+-------------+--------------+------------+------------+


+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Fast Model Setup: 'clk_div:clkdiv_inst|clock_100Hz'                                                                                                                                                                                ;
+--------+----------------------------------------------------+-----------------------------+-------------------------------------------------------------+---------------------------------+--------------+------------+------------+
; Slack  ; From Node                                          ; To Node                     ; Launch Clock                                                ; Latch Clock                     ; Relationship ; Clock Skew ; Data Delay ;
+--------+----------------------------------------------------+-----------------------------+-------------------------------------------------------------+---------------------------------+--------------+------------+------------+
; -0.443 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[3] ; ps2kbd:ps2_kbd_inst|caps[1] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; 0.067      ; 1.542      ;
; -0.443 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[3] ; ps2kbd:ps2_kbd_inst|caps[0] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; 0.067      ; 1.542      ;
; -0.387 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[4] ; ps2kbd:ps2_kbd_inst|caps[1] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; 0.067      ; 1.486      ;
; -0.387 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[4] ; ps2kbd:ps2_kbd_inst|caps[0] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; 0.067      ; 1.486      ;
; -0.306 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[7] ; ps2kbd:ps2_kbd_inst|caps[1] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; 0.073      ; 1.411      ;
; -0.306 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[7] ; ps2kbd:ps2_kbd_inst|caps[0] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; 0.073      ; 1.411      ;
; -0.238 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[5] ; ps2kbd:ps2_kbd_inst|caps[1] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; 0.067      ; 1.337      ;
; -0.238 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[5] ; ps2kbd:ps2_kbd_inst|caps[0] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; 0.067      ; 1.337      ;
; -0.132 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[1] ; ps2kbd:ps2_kbd_inst|caps[1] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; 0.067      ; 1.231      ;
; -0.132 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[1] ; ps2kbd:ps2_kbd_inst|caps[0] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; 0.067      ; 1.231      ;
; 0.033  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[0] ; ps2kbd:ps2_kbd_inst|caps[1] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; 0.067      ; 1.066      ;
; 0.033  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[0] ; ps2kbd:ps2_kbd_inst|caps[0] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; 0.067      ; 1.066      ;
; 0.043  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[6] ; ps2kbd:ps2_kbd_inst|caps[1] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; 0.067      ; 1.056      ;
; 0.043  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[6] ; ps2kbd:ps2_kbd_inst|caps[0] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; 0.067      ; 1.056      ;
; 0.127  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[2] ; ps2kbd:ps2_kbd_inst|caps[1] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; 0.067      ; 0.972      ;
; 0.127  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[2] ; ps2kbd:ps2_kbd_inst|caps[0] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; 0.067      ; 0.972      ;
; 0.620  ; ps2kbd:ps2_kbd_inst|caps[1]                        ; ps2kbd:ps2_kbd_inst|caps[0] ; clk_div:clkdiv_inst|clock_100Hz                             ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; 0.000      ; 0.412      ;
; 0.638  ; ps2kbd:ps2_kbd_inst|caps[0]                        ; ps2kbd:ps2_kbd_inst|caps[1] ; clk_div:clkdiv_inst|clock_100Hz                             ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; 0.000      ; 0.394      ;
+--------+----------------------------------------------------+-----------------------------+-------------------------------------------------------------+---------------------------------+--------------+------------+------------+


+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Fast Model Setup: 'ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered'                                                                                                                                                                                                     ;
+--------+--------------------------------------------------+----------------------------------------------------+-------------------------------------------------------------+-------------------------------------------------------------+--------------+------------+------------+
; Slack  ; From Node                                        ; To Node                                            ; Launch Clock                                                ; Latch Clock                                                 ; Relationship ; Clock Skew ; Data Delay ;
+--------+--------------------------------------------------+----------------------------------------------------+-------------------------------------------------------------+-------------------------------------------------------------+--------------+------------+------------+
; -0.411 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[6] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.013      ; 1.456      ;
; -0.411 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[0] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.013      ; 1.456      ;
; -0.411 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[1] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.013      ; 1.456      ;
; -0.411 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[2] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.013      ; 1.456      ;
; -0.406 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[7] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.007      ; 1.445      ;
; -0.323 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[6] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.013      ; 1.368      ;
; -0.323 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[0] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.013      ; 1.368      ;
; -0.323 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[1] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.013      ; 1.368      ;
; -0.323 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[2] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.013      ; 1.368      ;
; -0.318 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[7] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.007      ; 1.357      ;
; -0.293 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[5] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.013      ; 1.338      ;
; -0.293 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[3] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.013      ; 1.338      ;
; -0.293 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[4] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.013      ; 1.338      ;
; -0.259 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[8]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.002      ; 1.293      ;
; -0.259 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[7]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.002      ; 1.293      ;
; -0.259 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[6]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.002      ; 1.293      ;
; -0.259 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[5]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.002      ; 1.293      ;
; -0.259 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[4]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.002      ; 1.293      ;
; -0.259 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.002      ; 1.293      ;
; -0.259 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.002      ; 1.293      ;
; -0.259 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.002      ; 1.293      ;
; -0.259 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.002      ; 1.293      ;
; -0.252 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[6] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.013      ; 1.297      ;
; -0.252 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[0] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.013      ; 1.297      ;
; -0.252 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[1] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.013      ; 1.297      ;
; -0.252 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[2] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.013      ; 1.297      ;
; -0.247 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[7] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.007      ; 1.286      ;
; -0.215 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[6] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.013      ; 1.260      ;
; -0.215 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[0] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.013      ; 1.260      ;
; -0.215 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[1] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.013      ; 1.260      ;
; -0.215 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[2] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.013      ; 1.260      ;
; -0.211 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[6] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.013      ; 1.256      ;
; -0.211 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[0] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.013      ; 1.256      ;
; -0.211 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[1] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.013      ; 1.256      ;
; -0.211 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[2] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.013      ; 1.256      ;
; -0.210 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[7] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.007      ; 1.249      ;
; -0.206 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[7] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.007      ; 1.245      ;
; -0.205 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[5] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.013      ; 1.250      ;
; -0.205 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[3] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.013      ; 1.250      ;
; -0.205 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[4] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.013      ; 1.250      ;
; -0.171 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[8]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.002      ; 1.205      ;
; -0.171 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[7]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.002      ; 1.205      ;
; -0.171 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[6]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.002      ; 1.205      ;
; -0.171 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[5]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.002      ; 1.205      ;
; -0.171 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[4]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.002      ; 1.205      ;
; -0.171 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.002      ; 1.205      ;
; -0.171 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.002      ; 1.205      ;
; -0.171 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.002      ; 1.205      ;
; -0.171 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.002      ; 1.205      ;
; -0.134 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[5] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.013      ; 1.179      ;
; -0.134 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[3] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.013      ; 1.179      ;
; -0.134 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[4] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.013      ; 1.179      ;
; -0.100 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[8]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.002      ; 1.134      ;
; -0.100 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[7]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.002      ; 1.134      ;
; -0.100 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[6]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.002      ; 1.134      ;
; -0.100 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[5]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.002      ; 1.134      ;
; -0.100 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[4]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.002      ; 1.134      ;
; -0.100 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.002      ; 1.134      ;
; -0.100 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.002      ; 1.134      ;
; -0.100 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.002      ; 1.134      ;
; -0.100 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.002      ; 1.134      ;
; -0.097 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[5] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.013      ; 1.142      ;
; -0.097 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[3] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.013      ; 1.142      ;
; -0.097 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[4] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.013      ; 1.142      ;
; -0.093 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[5] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.013      ; 1.138      ;
; -0.093 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[3] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.013      ; 1.138      ;
; -0.093 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[4] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.013      ; 1.138      ;
; -0.059 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[8]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.002      ; 1.093      ;
; -0.059 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[7]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.002      ; 1.093      ;
; -0.059 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[6]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.002      ; 1.093      ;
; -0.059 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[5]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.002      ; 1.093      ;
; -0.059 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[4]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.002      ; 1.093      ;
; -0.059 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.002      ; 1.093      ;
; -0.059 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.002      ; 1.093      ;
; -0.059 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.002      ; 1.093      ;
; -0.059 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.002      ; 1.093      ;
; -0.005 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.000      ; 1.037      ;
; -0.005 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.000      ; 1.037      ;
; -0.005 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.000      ; 1.037      ;
; -0.005 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.000      ; 1.037      ;
; 0.005  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[8]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.002      ; 1.029      ;
; 0.005  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[7]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.002      ; 1.029      ;
; 0.005  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[6]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.002      ; 1.029      ;
; 0.005  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[5]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.002      ; 1.029      ;
; 0.005  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[4]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.002      ; 1.029      ;
; 0.005  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.002      ; 1.029      ;
; 0.005  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.002      ; 1.029      ;
; 0.005  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.002      ; 1.029      ;
; 0.005  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.002      ; 1.029      ;
; 0.056  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.000      ; 0.976      ;
; 0.100  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.000      ; 0.932      ;
; 0.102  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR    ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.000      ; 0.930      ;
; 0.104  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.000      ; 0.928      ;
; 0.145  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.000      ; 0.887      ;
; 0.155  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[6] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[6] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.011      ; 0.888      ;
; 0.188  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.000      ; 0.844      ;
; 0.188  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.000      ; 0.844      ;
; 0.190  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR    ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.000      ; 0.842      ;
; 0.190  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.000      ; 0.842      ;
; 0.192  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; 0.000      ; 0.840      ;
+--------+--------------------------------------------------+----------------------------------------------------+-------------------------------------------------------------+-------------------------------------------------------------+--------------+------------+------------+


+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Fast Model Setup: 'clk_div:clkdiv_inst|clock_25Mhz_int'                                                                                                                                             ;
+-------+-----------------------------------+------------------------------------+-------------------------------------+-------------------------------------+--------------+------------+------------+
; Slack ; From Node                         ; To Node                            ; Launch Clock                        ; Latch Clock                         ; Relationship ; Clock Skew ; Data Delay ;
+-------+-----------------------------------+------------------------------------+-------------------------------------+-------------------------------------+--------------+------------+------------+
; 0.157 ; clk_div:clkdiv_inst|count_1Mhz[3] ; clk_div:clkdiv_inst|count_1Mhz[0]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 1.000        ; 0.000      ; 0.875      ;
; 0.157 ; clk_div:clkdiv_inst|count_1Mhz[3] ; clk_div:clkdiv_inst|count_1Mhz[1]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 1.000        ; 0.000      ; 0.875      ;
; 0.157 ; clk_div:clkdiv_inst|count_1Mhz[3] ; clk_div:clkdiv_inst|count_1Mhz[2]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 1.000        ; 0.000      ; 0.875      ;
; 0.157 ; clk_div:clkdiv_inst|count_1Mhz[3] ; clk_div:clkdiv_inst|count_1Mhz[3]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 1.000        ; 0.000      ; 0.875      ;
; 0.157 ; clk_div:clkdiv_inst|count_1Mhz[3] ; clk_div:clkdiv_inst|count_1Mhz[4]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 1.000        ; 0.000      ; 0.875      ;
; 0.265 ; clk_div:clkdiv_inst|count_1Mhz[0] ; clk_div:clkdiv_inst|count_1Mhz[4]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 1.000        ; 0.000      ; 0.767      ;
; 0.279 ; clk_div:clkdiv_inst|count_1Mhz[4] ; clk_div:clkdiv_inst|count_1Mhz[0]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 1.000        ; 0.000      ; 0.753      ;
; 0.279 ; clk_div:clkdiv_inst|count_1Mhz[4] ; clk_div:clkdiv_inst|count_1Mhz[1]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 1.000        ; 0.000      ; 0.753      ;
; 0.279 ; clk_div:clkdiv_inst|count_1Mhz[4] ; clk_div:clkdiv_inst|count_1Mhz[2]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 1.000        ; 0.000      ; 0.753      ;
; 0.279 ; clk_div:clkdiv_inst|count_1Mhz[4] ; clk_div:clkdiv_inst|count_1Mhz[3]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 1.000        ; 0.000      ; 0.753      ;
; 0.279 ; clk_div:clkdiv_inst|count_1Mhz[4] ; clk_div:clkdiv_inst|count_1Mhz[4]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 1.000        ; 0.000      ; 0.753      ;
; 0.300 ; clk_div:clkdiv_inst|count_1Mhz[0] ; clk_div:clkdiv_inst|count_1Mhz[3]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 1.000        ; 0.000      ; 0.732      ;
; 0.318 ; clk_div:clkdiv_inst|count_1Mhz[1] ; clk_div:clkdiv_inst|count_1Mhz[4]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 1.000        ; 0.000      ; 0.714      ;
; 0.333 ; clk_div:clkdiv_inst|count_1Mhz[2] ; clk_div:clkdiv_inst|count_1Mhz[4]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 1.000        ; 0.000      ; 0.699      ;
; 0.335 ; clk_div:clkdiv_inst|count_1Mhz[0] ; clk_div:clkdiv_inst|count_1Mhz[2]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 1.000        ; 0.000      ; 0.697      ;
; 0.353 ; clk_div:clkdiv_inst|count_1Mhz[1] ; clk_div:clkdiv_inst|count_1Mhz[3]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 1.000        ; 0.000      ; 0.679      ;
; 0.368 ; clk_div:clkdiv_inst|count_1Mhz[2] ; clk_div:clkdiv_inst|count_1Mhz[3]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 1.000        ; 0.000      ; 0.664      ;
; 0.370 ; clk_div:clkdiv_inst|count_1Mhz[0] ; clk_div:clkdiv_inst|count_1Mhz[1]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 1.000        ; 0.000      ; 0.662      ;
; 0.388 ; clk_div:clkdiv_inst|count_1Mhz[1] ; clk_div:clkdiv_inst|count_1Mhz[2]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 1.000        ; 0.000      ; 0.644      ;
; 0.508 ; clk_div:clkdiv_inst|count_1Mhz[2] ; clk_div:clkdiv_inst|count_1Mhz[2]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 1.000        ; 0.000      ; 0.524      ;
; 0.510 ; clk_div:clkdiv_inst|count_1Mhz[0] ; clk_div:clkdiv_inst|count_1Mhz[0]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 1.000        ; 0.000      ; 0.522      ;
; 0.510 ; clk_div:clkdiv_inst|count_1Mhz[3] ; clk_div:clkdiv_inst|clock_1Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 1.000        ; 0.000      ; 0.522      ;
; 0.526 ; clk_div:clkdiv_inst|count_1Mhz[1] ; clk_div:clkdiv_inst|count_1Mhz[1]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 1.000        ; 0.000      ; 0.506      ;
; 0.587 ; clk_div:clkdiv_inst|count_1Mhz[2] ; clk_div:clkdiv_inst|clock_1Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 1.000        ; 0.000      ; 0.445      ;
; 0.627 ; clk_div:clkdiv_inst|count_1Mhz[4] ; clk_div:clkdiv_inst|clock_1Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 1.000        ; 0.000      ; 0.405      ;
+-------+-----------------------------------+------------------------------------+-------------------------------------+-------------------------------------+--------------+------------+------------+


+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Fast Model Setup: 'clk_div:clkdiv_inst|clock_1Khz_int'                                                                                                                                               ;
+-------+-------------------------------------+-------------------------------------+------------------------------------+------------------------------------+--------------+------------+------------+
; Slack ; From Node                           ; To Node                             ; Launch Clock                       ; Latch Clock                        ; Relationship ; Clock Skew ; Data Delay ;
+-------+-------------------------------------+-------------------------------------+------------------------------------+------------------------------------+--------------+------------+------------+
; 0.439 ; clk_div:clkdiv_inst|count_100hz[1]  ; clk_div:clkdiv_inst|clock_100hz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; 1.000        ; 0.000      ; 0.593      ;
; 0.507 ; clk_div:clkdiv_inst|count_100hz[1]  ; clk_div:clkdiv_inst|count_100hz[2]  ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; 1.000        ; 0.000      ; 0.525      ;
; 0.507 ; clk_div:clkdiv_inst|count_100hz[0]  ; clk_div:clkdiv_inst|clock_100hz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; 1.000        ; 0.000      ; 0.525      ;
; 0.510 ; clk_div:clkdiv_inst|count_100hz[2]  ; clk_div:clkdiv_inst|count_100hz[0]  ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; 1.000        ; 0.000      ; 0.522      ;
; 0.625 ; clk_div:clkdiv_inst|count_100hz[0]  ; clk_div:clkdiv_inst|count_100hz[1]  ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; 1.000        ; 0.000      ; 0.407      ;
; 0.625 ; clk_div:clkdiv_inst|count_100hz[1]  ; clk_div:clkdiv_inst|count_100hz[0]  ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; 1.000        ; 0.000      ; 0.407      ;
; 0.626 ; clk_div:clkdiv_inst|count_100hz[0]  ; clk_div:clkdiv_inst|count_100hz[2]  ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; 1.000        ; 0.000      ; 0.406      ;
; 0.627 ; clk_div:clkdiv_inst|count_100hz[2]  ; clk_div:clkdiv_inst|clock_100hz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; 1.000        ; 0.000      ; 0.405      ;
; 0.665 ; clk_div:clkdiv_inst|clock_100hz_int ; clk_div:clkdiv_inst|clock_100hz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; 1.000        ; 0.000      ; 0.367      ;
; 0.665 ; clk_div:clkdiv_inst|count_100hz[2]  ; clk_div:clkdiv_inst|count_100hz[2]  ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; 1.000        ; 0.000      ; 0.367      ;
; 0.665 ; clk_div:clkdiv_inst|count_100hz[0]  ; clk_div:clkdiv_inst|count_100hz[0]  ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; 1.000        ; 0.000      ; 0.367      ;
; 0.665 ; clk_div:clkdiv_inst|count_100hz[1]  ; clk_div:clkdiv_inst|count_100hz[1]  ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; 1.000        ; 0.000      ; 0.367      ;
+-------+-------------------------------------+-------------------------------------+------------------------------------+------------------------------------+--------------+------------+------------+


+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Fast Model Setup: 'clk_div:clkdiv_inst|clock_1Mhz_int'                                                                                                                                                   ;
+-------+--------------------------------------+--------------------------------------+--------------------------------------+------------------------------------+--------------+------------+------------+
; Slack ; From Node                            ; To Node                              ; Launch Clock                         ; Latch Clock                        ; Relationship ; Clock Skew ; Data Delay ;
+-------+--------------------------------------+--------------------------------------+--------------------------------------+------------------------------------+--------------+------------+------------+
; 0.444 ; clk_div:clkdiv_inst|count_100Khz[0]  ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_1Mhz_int   ; clk_div:clkdiv_inst|clock_1Mhz_int ; 1.000        ; 0.000      ; 0.588      ;
; 0.516 ; clk_div:clkdiv_inst|count_100Khz[2]  ; clk_div:clkdiv_inst|count_100Khz[0]  ; clk_div:clkdiv_inst|clock_1Mhz_int   ; clk_div:clkdiv_inst|clock_1Mhz_int ; 1.000        ; 0.000      ; 0.516      ;
; 0.517 ; clk_div:clkdiv_inst|count_100Khz[1]  ; clk_div:clkdiv_inst|count_100Khz[2]  ; clk_div:clkdiv_inst|clock_1Mhz_int   ; clk_div:clkdiv_inst|clock_1Mhz_int ; 1.000        ; 0.000      ; 0.515      ;
; 0.517 ; clk_div:clkdiv_inst|count_100Khz[2]  ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_1Mhz_int   ; clk_div:clkdiv_inst|clock_1Mhz_int ; 1.000        ; 0.000      ; 0.515      ;
; 0.631 ; clk_div:clkdiv_inst|count_100Khz[0]  ; clk_div:clkdiv_inst|count_100Khz[1]  ; clk_div:clkdiv_inst|clock_1Mhz_int   ; clk_div:clkdiv_inst|clock_1Mhz_int ; 1.000        ; 0.000      ; 0.401      ;
; 0.632 ; clk_div:clkdiv_inst|count_100Khz[0]  ; clk_div:clkdiv_inst|count_100Khz[2]  ; clk_div:clkdiv_inst|clock_1Mhz_int   ; clk_div:clkdiv_inst|clock_1Mhz_int ; 1.000        ; 0.000      ; 0.400      ;
; 0.634 ; clk_div:clkdiv_inst|count_100Khz[1]  ; clk_div:clkdiv_inst|count_100Khz[0]  ; clk_div:clkdiv_inst|clock_1Mhz_int   ; clk_div:clkdiv_inst|clock_1Mhz_int ; 1.000        ; 0.000      ; 0.398      ;
; 0.635 ; clk_div:clkdiv_inst|count_100Khz[1]  ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_1Mhz_int   ; clk_div:clkdiv_inst|clock_1Mhz_int ; 1.000        ; 0.000      ; 0.397      ;
; 0.665 ; clk_div:clkdiv_inst|count_100Khz[0]  ; clk_div:clkdiv_inst|count_100Khz[0]  ; clk_div:clkdiv_inst|clock_1Mhz_int   ; clk_div:clkdiv_inst|clock_1Mhz_int ; 1.000        ; 0.000      ; 0.367      ;
; 0.665 ; clk_div:clkdiv_inst|count_100Khz[2]  ; clk_div:clkdiv_inst|count_100Khz[2]  ; clk_div:clkdiv_inst|clock_1Mhz_int   ; clk_div:clkdiv_inst|clock_1Mhz_int ; 1.000        ; 0.000      ; 0.367      ;
; 0.665 ; clk_div:clkdiv_inst|count_100Khz[1]  ; clk_div:clkdiv_inst|count_100Khz[1]  ; clk_div:clkdiv_inst|clock_1Mhz_int   ; clk_div:clkdiv_inst|clock_1Mhz_int ; 1.000        ; 0.000      ; 0.367      ;
; 1.804 ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_1Mhz_int ; 0.500        ; 1.498      ; 0.367      ;
; 2.304 ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_1Mhz_int ; 1.000        ; 1.498      ; 0.367      ;
+-------+--------------------------------------+--------------------------------------+--------------------------------------+------------------------------------+--------------+------------+------------+


+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Fast Model Setup: 'clk_div:clkdiv_inst|clock_100Khz_int'                                                                                                                                                 ;
+-------+-------------------------------------+-------------------------------------+--------------------------------------+--------------------------------------+--------------+------------+------------+
; Slack ; From Node                           ; To Node                             ; Launch Clock                         ; Latch Clock                          ; Relationship ; Clock Skew ; Data Delay ;
+-------+-------------------------------------+-------------------------------------+--------------------------------------+--------------------------------------+--------------+------------+------------+
; 0.505 ; clk_div:clkdiv_inst|count_10Khz[1]  ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; 1.000        ; 0.000      ; 0.527      ;
; 0.506 ; clk_div:clkdiv_inst|count_10Khz[1]  ; clk_div:clkdiv_inst|count_10Khz[2]  ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; 1.000        ; 0.000      ; 0.526      ;
; 0.513 ; clk_div:clkdiv_inst|count_10Khz[0]  ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; 1.000        ; 0.000      ; 0.519      ;
; 0.520 ; clk_div:clkdiv_inst|count_10Khz[2]  ; clk_div:clkdiv_inst|count_10Khz[0]  ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; 1.000        ; 0.000      ; 0.512      ;
; 0.632 ; clk_div:clkdiv_inst|count_10Khz[0]  ; clk_div:clkdiv_inst|count_10Khz[2]  ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; 1.000        ; 0.000      ; 0.400      ;
; 0.634 ; clk_div:clkdiv_inst|count_10Khz[0]  ; clk_div:clkdiv_inst|count_10Khz[1]  ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; 1.000        ; 0.000      ; 0.398      ;
; 0.634 ; clk_div:clkdiv_inst|count_10Khz[1]  ; clk_div:clkdiv_inst|count_10Khz[0]  ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; 1.000        ; 0.000      ; 0.398      ;
; 0.639 ; clk_div:clkdiv_inst|count_10Khz[2]  ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; 1.000        ; 0.000      ; 0.393      ;
; 0.665 ; clk_div:clkdiv_inst|count_10Khz[2]  ; clk_div:clkdiv_inst|count_10Khz[2]  ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; 1.000        ; 0.000      ; 0.367      ;
; 0.665 ; clk_div:clkdiv_inst|count_10Khz[0]  ; clk_div:clkdiv_inst|count_10Khz[0]  ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; 1.000        ; 0.000      ; 0.367      ;
; 0.665 ; clk_div:clkdiv_inst|count_10Khz[1]  ; clk_div:clkdiv_inst|count_10Khz[1]  ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; 1.000        ; 0.000      ; 0.367      ;
; 1.788 ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int  ; clk_div:clkdiv_inst|clock_100Khz_int ; 0.500        ; 1.482      ; 0.367      ;
; 2.288 ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int  ; clk_div:clkdiv_inst|clock_100Khz_int ; 1.000        ; 1.482      ; 0.367      ;
+-------+-------------------------------------+-------------------------------------+--------------------------------------+--------------------------------------+--------------+------------+------------+


+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Fast Model Setup: 'clk_div:clkdiv_inst|clock_10Khz_int'                                                                                                                                              ;
+-------+------------------------------------+------------------------------------+-------------------------------------+-------------------------------------+--------------+------------+------------+
; Slack ; From Node                          ; To Node                            ; Launch Clock                        ; Latch Clock                         ; Relationship ; Clock Skew ; Data Delay ;
+-------+------------------------------------+------------------------------------+-------------------------------------+-------------------------------------+--------------+------------+------------+
; 0.505 ; clk_div:clkdiv_inst|count_1Khz[0]  ; clk_div:clkdiv_inst|count_1Khz[2]  ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; 1.000        ; 0.000      ; 0.527      ;
; 0.505 ; clk_div:clkdiv_inst|count_1Khz[0]  ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; 1.000        ; 0.000      ; 0.527      ;
; 0.514 ; clk_div:clkdiv_inst|count_1Khz[1]  ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; 1.000        ; 0.000      ; 0.518      ;
; 0.521 ; clk_div:clkdiv_inst|count_1Khz[2]  ; clk_div:clkdiv_inst|count_1Khz[0]  ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; 1.000        ; 0.000      ; 0.511      ;
; 0.632 ; clk_div:clkdiv_inst|count_1Khz[1]  ; clk_div:clkdiv_inst|count_1Khz[2]  ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; 1.000        ; 0.000      ; 0.400      ;
; 0.634 ; clk_div:clkdiv_inst|count_1Khz[1]  ; clk_div:clkdiv_inst|count_1Khz[0]  ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; 1.000        ; 0.000      ; 0.398      ;
; 0.635 ; clk_div:clkdiv_inst|count_1Khz[0]  ; clk_div:clkdiv_inst|count_1Khz[1]  ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; 1.000        ; 0.000      ; 0.397      ;
; 0.640 ; clk_div:clkdiv_inst|count_1Khz[2]  ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; 1.000        ; 0.000      ; 0.392      ;
; 0.665 ; clk_div:clkdiv_inst|count_1Khz[2]  ; clk_div:clkdiv_inst|count_1Khz[2]  ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; 1.000        ; 0.000      ; 0.367      ;
; 0.665 ; clk_div:clkdiv_inst|count_1Khz[0]  ; clk_div:clkdiv_inst|count_1Khz[0]  ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; 1.000        ; 0.000      ; 0.367      ;
; 0.665 ; clk_div:clkdiv_inst|count_1Khz[1]  ; clk_div:clkdiv_inst|count_1Khz[1]  ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; 1.000        ; 0.000      ; 0.367      ;
; 1.837 ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int  ; clk_div:clkdiv_inst|clock_10Khz_int ; 0.500        ; 1.531      ; 0.367      ;
; 2.337 ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int  ; clk_div:clkdiv_inst|clock_10Khz_int ; 1.000        ; 1.531      ; 0.367      ;
+-------+------------------------------------+------------------------------------+-------------------------------------+-------------------------------------+--------------+------------+------------+


+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Fast Model Hold: 'CLOCK_50'                                                                                                                                                                                                                             ;
+--------+-------------------------------------------------------------+-------------------------------------------------------------+-------------------------------------------------------------+-------------+--------------+------------+------------+
; Slack  ; From Node                                                   ; To Node                                                     ; Launch Clock                                                ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ;
+--------+-------------------------------------------------------------+-------------------------------------------------------------+-------------------------------------------------------------+-------------+--------------+------------+------------+
; -1.730 ; clk_div:clkdiv_inst|clock_25Mhz_int                         ; clk_div:clkdiv_inst|clock_25Mhz_int                         ; clk_div:clkdiv_inst|clock_25Mhz_int                         ; CLOCK_50    ; 0.000        ; 1.804      ; 0.367      ;
; -1.725 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 0.000        ; 1.799      ; 0.367      ;
; -1.620 ; clk_div:clkdiv_inst|clock_25Mhz_int                         ; clk_div:clkdiv_inst|clock_25MHz                             ; clk_div:clkdiv_inst|clock_25Mhz_int                         ; CLOCK_50    ; 0.000        ; 1.804      ; 0.477      ;
; -1.230 ; clk_div:clkdiv_inst|clock_25Mhz_int                         ; clk_div:clkdiv_inst|clock_25Mhz_int                         ; clk_div:clkdiv_inst|clock_25Mhz_int                         ; CLOCK_50    ; -0.500       ; 1.804      ; 0.367      ;
; -1.225 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; -0.500       ; 1.799      ; 0.367      ;
; -1.120 ; clk_div:clkdiv_inst|clock_25Mhz_int                         ; clk_div:clkdiv_inst|clock_25MHz                             ; clk_div:clkdiv_inst|clock_25Mhz_int                         ; CLOCK_50    ; -0.500       ; 1.804      ; 0.477      ;
; -0.376 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_ready            ; ps2_read                                                    ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set             ; CLOCK_50    ; 0.000        ; 1.105      ; 0.881      ;
; 0.036  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_ready            ; ps2_ascii_reg1[2]                                           ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set             ; CLOCK_50    ; 0.000        ; 1.105      ; 1.293      ;
; 0.036  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_ready            ; ps2_ascii_reg1[6]                                           ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set             ; CLOCK_50    ; 0.000        ; 1.105      ; 1.293      ;
; 0.036  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_ready            ; ps2_ascii_reg1[5]                                           ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set             ; CLOCK_50    ; 0.000        ; 1.105      ; 1.293      ;
; 0.036  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_ready            ; ps2_ascii_reg1[1]                                           ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set             ; CLOCK_50    ; 0.000        ; 1.105      ; 1.293      ;
; 0.036  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_ready            ; ps2_ascii_reg1[3]                                           ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set             ; CLOCK_50    ; 0.000        ; 1.105      ; 1.293      ;
; 0.036  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_ready            ; ps2_ascii_reg1[0]                                           ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set             ; CLOCK_50    ; 0.000        ; 1.105      ; 1.293      ;
; 0.036  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_ready            ; ps2_ascii_reg1[7]                                           ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set             ; CLOCK_50    ; 0.000        ; 1.105      ; 1.293      ;
; 0.047  ; clk_div:clkdiv_inst|clock_100hz_int                         ; clk_div:clkdiv_inst|clock_100Hz                             ; clk_div:clkdiv_inst|clock_1Khz_int                          ; CLOCK_50    ; 0.000        ; 0.275      ; 0.474      ;
; 0.109  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_ready            ; ps2_ascii_reg1[4]                                           ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set             ; CLOCK_50    ; 0.000        ; 1.105      ; 1.366      ;
; 0.215  ; clk_div:clkdiv_inst|count_357Mhz[2]                         ; clk_div:clkdiv_inst|count_357Mhz[2]                         ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.000      ; 0.367      ;
; 0.215  ; clk_div:clkdiv_inst|count_357Mhz[0]                         ; clk_div:clkdiv_inst|count_357Mhz[0]                         ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.000      ; 0.367      ;
; 0.215  ; clk_div:clkdiv_inst|count_357Mhz[1]                         ; clk_div:clkdiv_inst|count_357Mhz[1]                         ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.000      ; 0.367      ;
; 0.215  ; clk_div:clkdiv_inst|count_357Mhz[3]                         ; clk_div:clkdiv_inst|count_357Mhz[3]                         ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.000      ; 0.367      ;
; 0.215  ; clk_div:clkdiv_inst|clock_357Mhz_int                        ; clk_div:clkdiv_inst|clock_357Mhz_int                        ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.000      ; 0.367      ;
; 0.215  ; clk_div:clkdiv_inst|count_10Mhz[0]                          ; clk_div:clkdiv_inst|count_10Mhz[0]                          ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.000      ; 0.367      ;
; 0.215  ; clk_div:clkdiv_inst|count_10Mhz[1]                          ; clk_div:clkdiv_inst|count_10Mhz[1]                          ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.000      ; 0.367      ;
; 0.215  ; clk_div:clkdiv_inst|count_10Mhz[2]                          ; clk_div:clkdiv_inst|count_10Mhz[2]                          ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.000      ; 0.367      ;
; 0.215  ; clk_div:clkdiv_inst|clock_10Mhz_int                         ; clk_div:clkdiv_inst|clock_10Mhz_int                         ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.000      ; 0.367      ;
; 0.244  ; \random:rand_temp[4]                                        ; \random:rand_temp[5]                                        ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.000      ; 0.396      ;
; 0.245  ; \random:rand_temp[5]                                        ; \random:rand_temp[6]                                        ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.000      ; 0.397      ;
; 0.246  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|filter[4]             ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|filter[3]             ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.000      ; 0.398      ;
; 0.248  ; \random:rand_temp[15]                                       ; \random:rand_temp[0]                                        ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.000      ; 0.400      ;
; 0.248  ; \random:rand_temp[2]                                        ; \random:rand_temp[3]                                        ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.000      ; 0.400      ;
; 0.248  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|filter[3]             ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|filter[2]             ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.000      ; 0.400      ;
; 0.249  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|filter[6]             ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|filter[5]             ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.000      ; 0.401      ;
; 0.250  ; clk_div:clkdiv_inst|count_357Mhz[0]                         ; clk_div:clkdiv_inst|count_357Mhz[1]                         ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.000      ; 0.402      ;
; 0.251  ; clk_div:clkdiv_inst|count_357Mhz[3]                         ; clk_div:clkdiv_inst|clock_357Mhz_int                        ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.000      ; 0.403      ;
; 0.252  ; clk_div:clkdiv_inst|count_357Mhz[3]                         ; clk_div:clkdiv_inst|count_357Mhz[0]                         ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.000      ; 0.404      ;
; 0.270  ; \random:rand_temp[14]                                       ; \random:rand_temp[15]                                       ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.000      ; 0.422      ;
; 0.289  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[7]          ; ps2_ascii_reg1[4]                                           ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 0.000        ; 0.286      ; 0.727      ;
; 0.293  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[7]          ; ps2_ascii_reg1[5]                                           ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 0.000        ; 0.286      ; 0.731      ;
; 0.297  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[7]          ; ps2_ascii_reg1[7]                                           ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 0.000        ; 0.286      ; 0.735      ;
; 0.298  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[7]          ; ps2_ascii_reg1[2]                                           ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 0.000        ; 0.286      ; 0.736      ;
; 0.298  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[7]          ; ps2_ascii_reg1[6]                                           ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 0.000        ; 0.286      ; 0.736      ;
; 0.298  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[7]          ; ps2_ascii_reg1[0]                                           ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 0.000        ; 0.286      ; 0.736      ;
; 0.299  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[7]          ; ps2_ascii_reg1[3]                                           ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 0.000        ; 0.286      ; 0.737      ;
; 0.300  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[7]          ; ps2_ascii_reg1[1]                                           ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 0.000        ; 0.286      ; 0.738      ;
; 0.317  ; \random:rand_temp[6]                                        ; \random:rand_temp[7]                                        ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.000      ; 0.469      ;
; 0.319  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|filter[5]             ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|filter[4]             ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.000      ; 0.471      ;
; 0.321  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|filter[7]             ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|filter[6]             ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.000      ; 0.473      ;
; 0.325  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|filter[2]             ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|filter[1]             ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.000      ; 0.477      ;
; 0.327  ; \random:rand_temp[3]                                        ; \random:rand_temp[4]                                        ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.000      ; 0.479      ;
; 0.329  ; clk_div:clkdiv_inst|count_10Mhz[2]                          ; clk_div:clkdiv_inst|clock_10Mhz_int                         ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.000      ; 0.481      ;
; 0.331  ; \random:rand_temp[9]                                        ; \random:rand_temp[10]                                       ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.000      ; 0.483      ;
; 0.332  ; \random:rand_temp[7]                                        ; \random:rand_temp[8]                                        ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.000      ; 0.484      ;
; 0.334  ; \random:rand_temp[10]                                       ; \random:rand_temp[11]                                       ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.000      ; 0.486      ;
; 0.336  ; clk_div:clkdiv_inst|count_357Mhz[1]                         ; clk_div:clkdiv_inst|count_357Mhz[2]                         ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.000      ; 0.488      ;
; 0.338  ; clk_div:clkdiv_inst|count_357Mhz[1]                         ; clk_div:clkdiv_inst|count_357Mhz[3]                         ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.000      ; 0.490      ;
; 0.340  ; clk_div:clkdiv_inst|clock_357Mhz_int                        ; clk_div:clkdiv_inst|clock_357Mhz                            ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; -0.092     ; 0.400      ;
; 0.357  ; clk_div:clkdiv_inst|count_10Mhz[1]                          ; clk_div:clkdiv_inst|clock_10Mhz_int                         ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.000      ; 0.509      ;
; 0.361  ; ps2_read                                                    ; ps2_ascii_reg1[4]                                           ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.000      ; 0.513      ;
; 0.365  ; clk_div:clkdiv_inst|count_10Mhz[1]                          ; clk_div:clkdiv_inst|count_10Mhz[2]                          ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.000      ; 0.517      ;
; 0.367  ; clk_div:clkdiv_inst|count_357Mhz[0]                         ; clk_div:clkdiv_inst|count_357Mhz[2]                         ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.000      ; 0.519      ;
; 0.369  ; clk_div:clkdiv_inst|count_357Mhz[2]                         ; clk_div:clkdiv_inst|count_357Mhz[1]                         ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.000      ; 0.521      ;
; 0.369  ; clk_div:clkdiv_inst|count_357Mhz[0]                         ; clk_div:clkdiv_inst|count_357Mhz[3]                         ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.000      ; 0.521      ;
; 0.372  ; \random:rand_temp[14]                                       ; \random:rand_temp[0]                                        ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.000      ; 0.524      ;
; 0.374  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[6]          ; ps2_ascii_reg1[2]                                           ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 0.000        ; 0.280      ; 0.806      ;
; 0.380  ; clk_div:clkdiv_inst|count_357Mhz[3]                         ; clk_div:clkdiv_inst|count_357Mhz[2]                         ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.000      ; 0.532      ;
; 0.381  ; clk_div:clkdiv_inst|count_357Mhz[3]                         ; clk_div:clkdiv_inst|count_357Mhz[1]                         ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.000      ; 0.533      ;
; 0.387  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|filter[0]             ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.000      ; 0.539      ;
; 0.398  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|filter[1]             ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|filter[0]             ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.000      ; 0.550      ;
; 0.403  ; \random:rand_temp[8]                                        ; \random:rand_temp[9]                                        ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.000      ; 0.555      ;
; 0.408  ; \random:rand_temp[11]                                       ; \random:rand_temp[12]                                       ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.000      ; 0.560      ;
; 0.415  ; clk_div:clkdiv_inst|clock_10Mhz_int                         ; clk_div:clkdiv_inst|clock_10MHz                             ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; -0.092     ; 0.475      ;
; 0.417  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[7]          ; ps2_read                                                    ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 0.000        ; 0.286      ; 0.855      ;
; 0.420  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|filter[6]             ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.000      ; 0.572      ;
; 0.429  ; ps2_read                                                    ; ps2_ascii_reg1[6]                                           ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.000      ; 0.581      ;
; 0.431  ; ps2_read                                                    ; ps2_ascii_reg1[2]                                           ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.000      ; 0.583      ;
; 0.432  ; ps2_read                                                    ; ps2_ascii_reg1[0]                                           ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.000      ; 0.584      ;
; 0.433  ; ps2_read                                                    ; ps2_ascii_reg1[7]                                           ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.000      ; 0.585      ;
; 0.434  ; ps2_read                                                    ; ps2_ascii_reg1[5]                                           ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.000      ; 0.586      ;
; 0.442  ; clk_div:clkdiv_inst|count_10Mhz[0]                          ; clk_div:clkdiv_inst|count_10Mhz[2]                          ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.000      ; 0.594      ;
; 0.446  ; clk_div:clkdiv_inst|count_10Mhz[0]                          ; clk_div:clkdiv_inst|count_10Mhz[1]                          ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.000      ; 0.598      ;
; 0.454  ; clk_div:clkdiv_inst|count_357Mhz[1]                         ; clk_div:clkdiv_inst|count_357Mhz[0]                         ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.000      ; 0.606      ;
; 0.454  ; clk_div:clkdiv_inst|count_357Mhz[1]                         ; clk_div:clkdiv_inst|clock_357Mhz_int                        ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.000      ; 0.606      ;
; 0.457  ; clk_div:clkdiv_inst|count_10Mhz[2]                          ; clk_div:clkdiv_inst|count_10Mhz[1]                          ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.000      ; 0.609      ;
; 0.458  ; \random:rand_temp[0]                                        ; \random:rand_temp[1]                                        ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.000      ; 0.610      ;
; 0.504  ; \random:rand_temp[1]                                        ; \random:rand_temp[2]                                        ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.000      ; 0.656      ;
; 0.510  ; ps2_read                                                    ; ps2_ascii_reg1[3]                                           ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.000      ; 0.662      ;
; 0.511  ; ps2_read                                                    ; ps2_ascii_reg1[1]                                           ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.000      ; 0.663      ;
; 0.513  ; clk_div:clkdiv_inst|count_10Mhz[0]                          ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|filter[7]             ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.000      ; 0.665      ;
; 0.513  ; clk_div:clkdiv_inst|count_10Mhz[0]                          ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|filter[6]             ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.000      ; 0.665      ;
; 0.513  ; clk_div:clkdiv_inst|count_10Mhz[0]                          ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|filter[5]             ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.000      ; 0.665      ;
; 0.513  ; clk_div:clkdiv_inst|count_10Mhz[0]                          ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|filter[4]             ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.000      ; 0.665      ;
; 0.513  ; clk_div:clkdiv_inst|count_10Mhz[0]                          ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|filter[3]             ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.000      ; 0.665      ;
; 0.513  ; clk_div:clkdiv_inst|count_10Mhz[0]                          ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|filter[2]             ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.000      ; 0.665      ;
; 0.513  ; clk_div:clkdiv_inst|count_10Mhz[0]                          ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|filter[1]             ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.000      ; 0.665      ;
; 0.513  ; clk_div:clkdiv_inst|count_10Mhz[0]                          ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|filter[0]             ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.000      ; 0.665      ;
; 0.513  ; clk_div:clkdiv_inst|count_10Mhz[0]                          ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.000      ; 0.665      ;
; 0.533  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|filter[1]             ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.000      ; 0.685      ;
; 0.534  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|filter[3]             ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.000      ; 0.686      ;
; 0.537  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|filter[4]             ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.000      ; 0.689      ;
; 0.554  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[6]          ; ps2_ascii_reg1[3]                                           ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 0.000        ; 0.280      ; 0.986      ;
+--------+-------------------------------------------------------------+-------------------------------------------------------------+-------------------------------------------------------------+-------------+--------------+------------+------------+


+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Fast Model Hold: 'clk_div:clkdiv_inst|clock_10Khz_int'                                                                                                                                                ;
+--------+------------------------------------+------------------------------------+-------------------------------------+-------------------------------------+--------------+------------+------------+
; Slack  ; From Node                          ; To Node                            ; Launch Clock                        ; Latch Clock                         ; Relationship ; Clock Skew ; Data Delay ;
+--------+------------------------------------+------------------------------------+-------------------------------------+-------------------------------------+--------------+------------+------------+
; -1.457 ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int  ; clk_div:clkdiv_inst|clock_10Khz_int ; 0.000        ; 1.531      ; 0.367      ;
; -0.957 ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int  ; clk_div:clkdiv_inst|clock_10Khz_int ; -0.500       ; 1.531      ; 0.367      ;
; 0.215  ; clk_div:clkdiv_inst|count_1Khz[0]  ; clk_div:clkdiv_inst|count_1Khz[0]  ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; 0.000        ; 0.000      ; 0.367      ;
; 0.215  ; clk_div:clkdiv_inst|count_1Khz[1]  ; clk_div:clkdiv_inst|count_1Khz[1]  ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; 0.000        ; 0.000      ; 0.367      ;
; 0.215  ; clk_div:clkdiv_inst|count_1Khz[2]  ; clk_div:clkdiv_inst|count_1Khz[2]  ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; 0.000        ; 0.000      ; 0.367      ;
; 0.240  ; clk_div:clkdiv_inst|count_1Khz[2]  ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; 0.000        ; 0.000      ; 0.392      ;
; 0.245  ; clk_div:clkdiv_inst|count_1Khz[0]  ; clk_div:clkdiv_inst|count_1Khz[1]  ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; 0.000        ; 0.000      ; 0.397      ;
; 0.246  ; clk_div:clkdiv_inst|count_1Khz[1]  ; clk_div:clkdiv_inst|count_1Khz[0]  ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; 0.000        ; 0.000      ; 0.398      ;
; 0.248  ; clk_div:clkdiv_inst|count_1Khz[1]  ; clk_div:clkdiv_inst|count_1Khz[2]  ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; 0.000        ; 0.000      ; 0.400      ;
; 0.359  ; clk_div:clkdiv_inst|count_1Khz[2]  ; clk_div:clkdiv_inst|count_1Khz[0]  ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; 0.000        ; 0.000      ; 0.511      ;
; 0.366  ; clk_div:clkdiv_inst|count_1Khz[1]  ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; 0.000        ; 0.000      ; 0.518      ;
; 0.375  ; clk_div:clkdiv_inst|count_1Khz[0]  ; clk_div:clkdiv_inst|count_1Khz[2]  ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; 0.000        ; 0.000      ; 0.527      ;
; 0.375  ; clk_div:clkdiv_inst|count_1Khz[0]  ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; 0.000        ; 0.000      ; 0.527      ;
+--------+------------------------------------+------------------------------------+-------------------------------------+-------------------------------------+--------------+------------+------------+


+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Fast Model Hold: 'clk_div:clkdiv_inst|clock_1Mhz_int'                                                                                                                                                     ;
+--------+--------------------------------------+--------------------------------------+--------------------------------------+------------------------------------+--------------+------------+------------+
; Slack  ; From Node                            ; To Node                              ; Launch Clock                         ; Latch Clock                        ; Relationship ; Clock Skew ; Data Delay ;
+--------+--------------------------------------+--------------------------------------+--------------------------------------+------------------------------------+--------------+------------+------------+
; -1.424 ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_1Mhz_int ; 0.000        ; 1.498      ; 0.367      ;
; -0.924 ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_1Mhz_int ; -0.500       ; 1.498      ; 0.367      ;
; 0.215  ; clk_div:clkdiv_inst|count_100Khz[2]  ; clk_div:clkdiv_inst|count_100Khz[2]  ; clk_div:clkdiv_inst|clock_1Mhz_int   ; clk_div:clkdiv_inst|clock_1Mhz_int ; 0.000        ; 0.000      ; 0.367      ;
; 0.215  ; clk_div:clkdiv_inst|count_100Khz[0]  ; clk_div:clkdiv_inst|count_100Khz[0]  ; clk_div:clkdiv_inst|clock_1Mhz_int   ; clk_div:clkdiv_inst|clock_1Mhz_int ; 0.000        ; 0.000      ; 0.367      ;
; 0.215  ; clk_div:clkdiv_inst|count_100Khz[1]  ; clk_div:clkdiv_inst|count_100Khz[1]  ; clk_div:clkdiv_inst|clock_1Mhz_int   ; clk_div:clkdiv_inst|clock_1Mhz_int ; 0.000        ; 0.000      ; 0.367      ;
; 0.245  ; clk_div:clkdiv_inst|count_100Khz[1]  ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_1Mhz_int   ; clk_div:clkdiv_inst|clock_1Mhz_int ; 0.000        ; 0.000      ; 0.397      ;
; 0.246  ; clk_div:clkdiv_inst|count_100Khz[1]  ; clk_div:clkdiv_inst|count_100Khz[0]  ; clk_div:clkdiv_inst|clock_1Mhz_int   ; clk_div:clkdiv_inst|clock_1Mhz_int ; 0.000        ; 0.000      ; 0.398      ;
; 0.248  ; clk_div:clkdiv_inst|count_100Khz[0]  ; clk_div:clkdiv_inst|count_100Khz[2]  ; clk_div:clkdiv_inst|clock_1Mhz_int   ; clk_div:clkdiv_inst|clock_1Mhz_int ; 0.000        ; 0.000      ; 0.400      ;
; 0.249  ; clk_div:clkdiv_inst|count_100Khz[0]  ; clk_div:clkdiv_inst|count_100Khz[1]  ; clk_div:clkdiv_inst|clock_1Mhz_int   ; clk_div:clkdiv_inst|clock_1Mhz_int ; 0.000        ; 0.000      ; 0.401      ;
; 0.363  ; clk_div:clkdiv_inst|count_100Khz[1]  ; clk_div:clkdiv_inst|count_100Khz[2]  ; clk_div:clkdiv_inst|clock_1Mhz_int   ; clk_div:clkdiv_inst|clock_1Mhz_int ; 0.000        ; 0.000      ; 0.515      ;
; 0.363  ; clk_div:clkdiv_inst|count_100Khz[2]  ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_1Mhz_int   ; clk_div:clkdiv_inst|clock_1Mhz_int ; 0.000        ; 0.000      ; 0.515      ;
; 0.364  ; clk_div:clkdiv_inst|count_100Khz[2]  ; clk_div:clkdiv_inst|count_100Khz[0]  ; clk_div:clkdiv_inst|clock_1Mhz_int   ; clk_div:clkdiv_inst|clock_1Mhz_int ; 0.000        ; 0.000      ; 0.516      ;
; 0.436  ; clk_div:clkdiv_inst|count_100Khz[0]  ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_1Mhz_int   ; clk_div:clkdiv_inst|clock_1Mhz_int ; 0.000        ; 0.000      ; 0.588      ;
+--------+--------------------------------------+--------------------------------------+--------------------------------------+------------------------------------+--------------+------------+------------+


+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Fast Model Hold: 'ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered'                                                                                                                                                                                                      ;
+--------+--------------------------------------------------+----------------------------------------------------+-------------------------------------------------------------+-------------------------------------------------------------+--------------+------------+------------+
; Slack  ; From Node                                        ; To Node                                            ; Launch Clock                                                ; Latch Clock                                                 ; Relationship ; Clock Skew ; Data Delay ;
+--------+--------------------------------------------------+----------------------------------------------------+-------------------------------------------------------------+-------------------------------------------------------------+--------------+------------+------------+
; -1.414 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set    ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set             ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 1.488      ; 0.367      ;
; -0.914 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set    ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set             ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; -0.500       ; 1.488      ; 0.367      ;
; 0.215  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR    ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.000      ; 0.367      ;
; 0.215  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.000      ; 0.367      ;
; 0.215  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.000      ; 0.367      ;
; 0.241  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[7] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[6]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.000      ; 0.393      ;
; 0.243  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[5] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[4]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.000      ; 0.395      ;
; 0.243  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[4] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.000      ; 0.395      ;
; 0.243  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[2] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.000      ; 0.395      ;
; 0.245  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[1] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.000      ; 0.397      ;
; 0.246  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[3] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.000      ; 0.398      ;
; 0.327  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[8] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[7]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.000      ; 0.479      ;
; 0.329  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[6] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[5]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.000      ; 0.481      ;
; 0.411  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[4] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[4] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.011      ; 0.574      ;
; 0.455  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set    ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.000      ; 0.607      ;
; 0.456  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set    ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.000      ; 0.608      ;
; 0.458  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.000      ; 0.610      ;
; 0.496  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set    ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.000      ; 0.648      ;
; 0.499  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[3] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[3] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.011      ; 0.662      ;
; 0.499  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.000      ; 0.651      ;
; 0.502  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[5] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[5] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.011      ; 0.665      ;
; 0.504  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[7] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[7] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.005      ; 0.661      ;
; 0.507  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[0] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[0] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.011      ; 0.670      ;
; 0.511  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[1] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[1] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.011      ; 0.674      ;
; 0.525  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[2] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[2] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.011      ; 0.688      ;
; 0.542  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.000      ; 0.694      ;
; 0.567  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set    ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.000      ; 0.719      ;
; 0.578  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.000      ; 0.730      ;
; 0.578  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR    ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.000      ; 0.730      ;
; 0.580  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.000      ; 0.732      ;
; 0.606  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.000      ; 0.758      ;
; 0.617  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.000      ; 0.769      ;
; 0.619  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.000      ; 0.771      ;
; 0.619  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR    ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.000      ; 0.771      ;
; 0.621  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.000      ; 0.773      ;
; 0.655  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set    ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.000      ; 0.807      ;
; 0.658  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.000      ; 0.810      ;
; 0.664  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.000      ; 0.816      ;
; 0.690  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR    ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.000      ; 0.842      ;
; 0.725  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[6] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[6] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.011      ; 0.888      ;
; 0.776  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.000      ; 0.928      ;
; 0.778  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.000      ; 0.930      ;
; 0.778  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR    ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.000      ; 0.930      ;
; 0.780  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.000      ; 0.932      ;
; 0.875  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[8]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.002      ; 1.029      ;
; 0.875  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[7]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.002      ; 1.029      ;
; 0.875  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[6]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.002      ; 1.029      ;
; 0.875  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[5]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.002      ; 1.029      ;
; 0.875  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[4]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.002      ; 1.029      ;
; 0.875  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.002      ; 1.029      ;
; 0.875  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.002      ; 1.029      ;
; 0.875  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.002      ; 1.029      ;
; 0.875  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.002      ; 1.029      ;
; 0.885  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.000      ; 1.037      ;
; 0.885  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.000      ; 1.037      ;
; 0.885  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.000      ; 1.037      ;
; 0.885  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.000      ; 1.037      ;
; 0.939  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[8]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.002      ; 1.093      ;
; 0.939  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[7]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.002      ; 1.093      ;
; 0.939  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[6]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.002      ; 1.093      ;
; 0.939  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[5]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.002      ; 1.093      ;
; 0.939  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[4]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.002      ; 1.093      ;
; 0.939  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.002      ; 1.093      ;
; 0.939  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.002      ; 1.093      ;
; 0.939  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.002      ; 1.093      ;
; 0.939  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.002      ; 1.093      ;
; 0.973  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[5] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.013      ; 1.138      ;
; 0.973  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[3] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.013      ; 1.138      ;
; 0.973  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[4] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.013      ; 1.138      ;
; 0.977  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[5] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.013      ; 1.142      ;
; 0.977  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[3] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.013      ; 1.142      ;
; 0.977  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[4] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.013      ; 1.142      ;
; 0.980  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[8]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.002      ; 1.134      ;
; 0.980  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[7]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.002      ; 1.134      ;
; 0.980  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[6]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.002      ; 1.134      ;
; 0.980  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[5]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.002      ; 1.134      ;
; 0.980  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[4]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.002      ; 1.134      ;
; 0.980  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.002      ; 1.134      ;
; 0.980  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.002      ; 1.134      ;
; 0.980  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.002      ; 1.134      ;
; 0.980  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.002      ; 1.134      ;
; 1.014  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[5] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.013      ; 1.179      ;
; 1.014  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[3] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.013      ; 1.179      ;
; 1.014  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[4] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.013      ; 1.179      ;
; 1.051  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[8]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.002      ; 1.205      ;
; 1.051  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[7]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.002      ; 1.205      ;
; 1.051  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[6]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.002      ; 1.205      ;
; 1.051  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[5]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.002      ; 1.205      ;
; 1.051  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[4]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.002      ; 1.205      ;
; 1.051  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.002      ; 1.205      ;
; 1.051  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.002      ; 1.205      ;
; 1.051  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.002      ; 1.205      ;
; 1.051  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.002      ; 1.205      ;
; 1.085  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[5] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.013      ; 1.250      ;
; 1.085  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[3] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.013      ; 1.250      ;
; 1.085  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[4] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.013      ; 1.250      ;
; 1.086  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[7] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.007      ; 1.245      ;
; 1.090  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[7] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.007      ; 1.249      ;
; 1.091  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[6] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.013      ; 1.256      ;
; 1.091  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[0] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.013      ; 1.256      ;
+--------+--------------------------------------------------+----------------------------------------------------+-------------------------------------------------------------+-------------------------------------------------------------+--------------+------------+------------+


+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Fast Model Hold: 'clk_div:clkdiv_inst|clock_100Khz_int'                                                                                                                                                   ;
+--------+-------------------------------------+-------------------------------------+--------------------------------------+--------------------------------------+--------------+------------+------------+
; Slack  ; From Node                           ; To Node                             ; Launch Clock                         ; Latch Clock                          ; Relationship ; Clock Skew ; Data Delay ;
+--------+-------------------------------------+-------------------------------------+--------------------------------------+--------------------------------------+--------------+------------+------------+
; -1.408 ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int  ; clk_div:clkdiv_inst|clock_100Khz_int ; 0.000        ; 1.482      ; 0.367      ;
; -0.908 ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int  ; clk_div:clkdiv_inst|clock_100Khz_int ; -0.500       ; 1.482      ; 0.367      ;
; 0.215  ; clk_div:clkdiv_inst|count_10Khz[1]  ; clk_div:clkdiv_inst|count_10Khz[1]  ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; 0.000        ; 0.000      ; 0.367      ;
; 0.215  ; clk_div:clkdiv_inst|count_10Khz[0]  ; clk_div:clkdiv_inst|count_10Khz[0]  ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; 0.000        ; 0.000      ; 0.367      ;
; 0.215  ; clk_div:clkdiv_inst|count_10Khz[2]  ; clk_div:clkdiv_inst|count_10Khz[2]  ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; 0.000        ; 0.000      ; 0.367      ;
; 0.241  ; clk_div:clkdiv_inst|count_10Khz[2]  ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; 0.000        ; 0.000      ; 0.393      ;
; 0.246  ; clk_div:clkdiv_inst|count_10Khz[0]  ; clk_div:clkdiv_inst|count_10Khz[1]  ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; 0.000        ; 0.000      ; 0.398      ;
; 0.246  ; clk_div:clkdiv_inst|count_10Khz[1]  ; clk_div:clkdiv_inst|count_10Khz[0]  ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; 0.000        ; 0.000      ; 0.398      ;
; 0.248  ; clk_div:clkdiv_inst|count_10Khz[0]  ; clk_div:clkdiv_inst|count_10Khz[2]  ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; 0.000        ; 0.000      ; 0.400      ;
; 0.360  ; clk_div:clkdiv_inst|count_10Khz[2]  ; clk_div:clkdiv_inst|count_10Khz[0]  ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; 0.000        ; 0.000      ; 0.512      ;
; 0.367  ; clk_div:clkdiv_inst|count_10Khz[0]  ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; 0.000        ; 0.000      ; 0.519      ;
; 0.374  ; clk_div:clkdiv_inst|count_10Khz[1]  ; clk_div:clkdiv_inst|count_10Khz[2]  ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; 0.000        ; 0.000      ; 0.526      ;
; 0.375  ; clk_div:clkdiv_inst|count_10Khz[1]  ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; 0.000        ; 0.000      ; 0.527      ;
+--------+-------------------------------------+-------------------------------------+--------------------------------------+--------------------------------------+--------------+------------+------------+


+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Fast Model Hold: 'SW[8]'                                                                                                                                                                                                                                                                                                                       ;
+--------+--------------------------------------------------------------------------------------------------+-------------------------------------------------------------------------------------------------------------------------------------------+---------------------------------+-------------+--------------+------------+------------+
; Slack  ; From Node                                                                                        ; To Node                                                                                                                                   ; Launch Clock                    ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ;
+--------+--------------------------------------------------------------------------------------------------+-------------------------------------------------------------------------------------------------------------------------------------------+---------------------------------+-------------+--------------+------------+------------+
; -0.871 ; \random:rand_temp[13]                                                                            ; T80se:z80_inst|DI_Reg[5]                                                                                                                  ; CLOCK_50                        ; SW[8]       ; 0.000        ; 1.251      ; 0.532      ;
; -0.818 ; \random:rand_temp[12]                                                                            ; T80se:z80_inst|DI_Reg[4]                                                                                                                  ; CLOCK_50                        ; SW[8]       ; 0.000        ; 1.251      ; 0.585      ;
; -0.807 ; \random:rand_temp[6]                                                                             ; T80se:z80_inst|DI_Reg[6]                                                                                                                  ; CLOCK_50                        ; SW[8]       ; 0.000        ; 1.251      ; 0.596      ;
; -0.777 ; \random:rand_temp[7]                                                                             ; T80se:z80_inst|DI_Reg[7]                                                                                                                  ; CLOCK_50                        ; SW[8]       ; 0.000        ; 1.251      ; 0.626      ;
; -0.767 ; \random:rand_temp[5]                                                                             ; T80se:z80_inst|DI_Reg[5]                                                                                                                  ; CLOCK_50                        ; SW[8]       ; 0.000        ; 1.251      ; 0.636      ;
; -0.702 ; \random:rand_temp[4]                                                                             ; T80se:z80_inst|DI_Reg[4]                                                                                                                  ; CLOCK_50                        ; SW[8]       ; 0.000        ; 1.251      ; 0.701      ;
; -0.666 ; \random:rand_temp[14]                                                                            ; T80se:z80_inst|DI_Reg[6]                                                                                                                  ; CLOCK_50                        ; SW[8]       ; 0.000        ; 1.251      ; 0.737      ;
; -0.577 ; \random:rand_temp[3]                                                                             ; T80se:z80_inst|DI_Reg[3]                                                                                                                  ; CLOCK_50                        ; SW[8]       ; 0.000        ; 1.251      ; 0.826      ;
; -0.576 ; \random:rand_temp[12]                                                                            ; T80se:z80_inst|T80:u0|IR[4]                                                                                                               ; CLOCK_50                        ; SW[8]       ; 0.000        ; 1.245      ; 0.821      ;
; -0.539 ; \random:rand_temp[5]                                                                             ; T80se:z80_inst|T80:u0|IR[5]                                                                                                               ; CLOCK_50                        ; SW[8]       ; 0.000        ; 1.247      ; 0.860      ;
; -0.537 ; \random:rand_temp[6]                                                                             ; T80se:z80_inst|T80:u0|IR[6]                                                                                                               ; CLOCK_50                        ; SW[8]       ; 0.000        ; 1.247      ; 0.862      ;
; -0.530 ; \random:rand_temp[13]                                                                            ; T80se:z80_inst|T80:u0|IR[5]                                                                                                               ; CLOCK_50                        ; SW[8]       ; 0.000        ; 1.247      ; 0.869      ;
; -0.515 ; \random:rand_temp[4]                                                                             ; T80se:z80_inst|T80:u0|IR[4]                                                                                                               ; CLOCK_50                        ; SW[8]       ; 0.000        ; 1.245      ; 0.882      ;
; -0.508 ; \random:rand_temp[9]                                                                             ; T80se:z80_inst|DI_Reg[1]                                                                                                                  ; CLOCK_50                        ; SW[8]       ; 0.000        ; 1.251      ; 0.895      ;
; -0.495 ; \random:rand_temp[2]                                                                             ; T80se:z80_inst|DI_Reg[2]                                                                                                                  ; CLOCK_50                        ; SW[8]       ; 0.000        ; 1.251      ; 0.908      ;
; -0.493 ; \random:rand_temp[7]                                                                             ; T80se:z80_inst|T80:u0|IR[7]                                                                                                               ; CLOCK_50                        ; SW[8]       ; 0.000        ; 1.245      ; 0.904      ;
; -0.481 ; \random:rand_temp[3]                                                                             ; T80se:z80_inst|T80:u0|IR[3]                                                                                                               ; CLOCK_50                        ; SW[8]       ; 0.000        ; 1.247      ; 0.918      ;
; -0.434 ; \random:rand_temp[2]                                                                             ; T80se:z80_inst|T80:u0|IR[2]                                                                                                               ; CLOCK_50                        ; SW[8]       ; 0.000        ; 1.247      ; 0.965      ;
; -0.406 ; \random:rand_temp[10]                                                                            ; T80se:z80_inst|DI_Reg[2]                                                                                                                  ; CLOCK_50                        ; SW[8]       ; 0.000        ; 1.251      ; 0.997      ;
; -0.404 ; \random:rand_temp[1]                                                                             ; T80se:z80_inst|DI_Reg[1]                                                                                                                  ; CLOCK_50                        ; SW[8]       ; 0.000        ; 1.251      ; 0.999      ;
; -0.401 ; \random:rand_temp[9]                                                                             ; T80se:z80_inst|T80:u0|IR[1]                                                                                                               ; CLOCK_50                        ; SW[8]       ; 0.000        ; 1.247      ; 0.998      ;
; -0.381 ; \random:rand_temp[14]                                                                            ; T80se:z80_inst|T80:u0|IR[6]                                                                                                               ; CLOCK_50                        ; SW[8]       ; 0.000        ; 1.247      ; 1.018      ;
; -0.376 ; \random:rand_temp[8]                                                                             ; T80se:z80_inst|T80:u0|IR[0]                                                                                                               ; CLOCK_50                        ; SW[8]       ; 0.000        ; 1.246      ; 1.022      ;
; -0.369 ; \random:rand_temp[15]                                                                            ; T80se:z80_inst|T80:u0|IR[7]                                                                                                               ; CLOCK_50                        ; SW[8]       ; 0.000        ; 1.245      ; 1.028      ;
; -0.345 ; \random:rand_temp[10]                                                                            ; T80se:z80_inst|T80:u0|IR[2]                                                                                                               ; CLOCK_50                        ; SW[8]       ; 0.000        ; 1.247      ; 1.054      ;
; -0.310 ; \random:rand_temp[11]                                                                            ; T80se:z80_inst|DI_Reg[3]                                                                                                                  ; CLOCK_50                        ; SW[8]       ; 0.000        ; 1.251      ; 1.093      ;
; -0.297 ; \random:rand_temp[1]                                                                             ; T80se:z80_inst|T80:u0|IR[1]                                                                                                               ; CLOCK_50                        ; SW[8]       ; 0.000        ; 1.247      ; 1.102      ;
; -0.277 ; ps2_ascii_reg1[3]                                                                                ; ps2_ascii_reg[3]                                                                                                                          ; CLOCK_50                        ; SW[8]       ; 0.000        ; 1.270      ; 1.145      ;
; -0.266 ; \random:rand_temp[8]                                                                             ; T80se:z80_inst|DI_Reg[0]                                                                                                                  ; CLOCK_50                        ; SW[8]       ; 0.000        ; 1.251      ; 1.137      ;
; -0.217 ; ps2_ascii_reg1[5]                                                                                ; ps2_ascii_reg[5]                                                                                                                          ; CLOCK_50                        ; SW[8]       ; 0.000        ; 1.265      ; 1.200      ;
; -0.214 ; \random:rand_temp[11]                                                                            ; T80se:z80_inst|T80:u0|IR[3]                                                                                                               ; CLOCK_50                        ; SW[8]       ; 0.000        ; 1.247      ; 1.185      ;
; -0.205 ; ps2_ascii_reg1[7]                                                                                ; ps2_ascii_reg[7]                                                                                                                          ; CLOCK_50                        ; SW[8]       ; 0.000        ; 1.265      ; 1.212      ;
; -0.188 ; \random:rand_temp[15]                                                                            ; T80se:z80_inst|DI_Reg[7]                                                                                                                  ; CLOCK_50                        ; SW[8]       ; 0.000        ; 1.251      ; 1.215      ;
; -0.184 ; \random:rand_temp[0]                                                                             ; T80se:z80_inst|T80:u0|IR[0]                                                                                                               ; CLOCK_50                        ; SW[8]       ; 0.000        ; 1.246      ; 1.214      ;
; -0.178 ; ps2_ascii_reg1[2]                                                                                ; ps2_ascii_reg[2]                                                                                                                          ; CLOCK_50                        ; SW[8]       ; 0.000        ; 1.270      ; 1.244      ;
; -0.168 ; ps2_ascii_reg1[1]                                                                                ; ps2_ascii_reg[1]                                                                                                                          ; CLOCK_50                        ; SW[8]       ; 0.000        ; 1.270      ; 1.254      ;
; -0.163 ; ps2_ascii_reg1[0]                                                                                ; ps2_ascii_reg[0]                                                                                                                          ; CLOCK_50                        ; SW[8]       ; 0.000        ; 1.270      ; 1.259      ;
; -0.129 ; ps2_ascii_reg1[6]                                                                                ; ps2_ascii_reg[6]                                                                                                                          ; CLOCK_50                        ; SW[8]       ; 0.000        ; 1.265      ; 1.288      ;
; -0.128 ; ps2_ascii_reg1[4]                                                                                ; ps2_ascii_reg[4]                                                                                                                          ; CLOCK_50                        ; SW[8]       ; 0.000        ; 1.265      ; 1.289      ;
; -0.074 ; \random:rand_temp[0]                                                                             ; T80se:z80_inst|DI_Reg[0]                                                                                                                  ; CLOCK_50                        ; SW[8]       ; 0.000        ; 1.251      ; 1.329      ;
; 0.191  ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_tr91:auto_generated|ram_block1a29        ; T80se:z80_inst|DI_Reg[5]                                                                                                                  ; clk_div:clkdiv_inst|clock_25MHz ; SW[8]       ; 0.000        ; 1.555      ; 1.898      ;
; 0.215  ; T80se:z80_inst|T80:u0|TState[0]                                                                  ; T80se:z80_inst|T80:u0|TState[0]                                                                                                           ; SW[8]                           ; SW[8]       ; 0.000        ; 0.000      ; 0.367      ;
; 0.215  ; T80se:z80_inst|T80:u0|TState[1]                                                                  ; T80se:z80_inst|T80:u0|TState[1]                                                                                                           ; SW[8]                           ; SW[8]       ; 0.000        ; 0.000      ; 0.367      ;
; 0.215  ; T80se:z80_inst|T80:u0|TState[2]                                                                  ; T80se:z80_inst|T80:u0|TState[2]                                                                                                           ; SW[8]                           ; SW[8]       ; 0.000        ; 0.000      ; 0.367      ;
; 0.215  ; T80se:z80_inst|T80:u0|IntE_FF2                                                                   ; T80se:z80_inst|T80:u0|IntE_FF2                                                                                                            ; SW[8]                           ; SW[8]       ; 0.000        ; 0.000      ; 0.367      ;
; 0.215  ; T80se:z80_inst|T80:u0|Halt_FF                                                                    ; T80se:z80_inst|T80:u0|Halt_FF                                                                                                             ; SW[8]                           ; SW[8]       ; 0.000        ; 0.000      ; 0.367      ;
; 0.215  ; T80se:z80_inst|T80:u0|R[7]                                                                       ; T80se:z80_inst|T80:u0|R[7]                                                                                                                ; SW[8]                           ; SW[8]       ; 0.000        ; 0.000      ; 0.367      ;
; 0.215  ; T80se:z80_inst|T80:u0|BTR_r                                                                      ; T80se:z80_inst|T80:u0|BTR_r                                                                                                               ; SW[8]                           ; SW[8]       ; 0.000        ; 0.000      ; 0.367      ;
; 0.215  ; T80se:z80_inst|T80:u0|MCycle[0]                                                                  ; T80se:z80_inst|T80:u0|MCycle[0]                                                                                                           ; SW[8]                           ; SW[8]       ; 0.000        ; 0.000      ; 0.367      ;
; 0.215  ; T80se:z80_inst|T80:u0|MCycle[2]                                                                  ; T80se:z80_inst|T80:u0|MCycle[2]                                                                                                           ; SW[8]                           ; SW[8]       ; 0.000        ; 0.000      ; 0.367      ;
; 0.215  ; T80se:z80_inst|T80:u0|Alternate                                                                  ; T80se:z80_inst|T80:u0|Alternate                                                                                                           ; SW[8]                           ; SW[8]       ; 0.000        ; 0.000      ; 0.367      ;
; 0.215  ; T80se:z80_inst|T80:u0|MCycle[1]                                                                  ; T80se:z80_inst|T80:u0|MCycle[1]                                                                                                           ; SW[8]                           ; SW[8]       ; 0.000        ; 0.000      ; 0.367      ;
; 0.232  ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_tr91:auto_generated|out_address_reg_a[1] ; T80se:z80_inst|DI_Reg[2]                                                                                                                  ; clk_div:clkdiv_inst|clock_25MHz ; SW[8]       ; 0.000        ; 1.606      ; 1.990      ;
; 0.240  ; T80se:z80_inst|T80:u0|R[6]                                                                       ; T80se:z80_inst|T80:u0|R[6]                                                                                                                ; SW[8]                           ; SW[8]       ; 0.000        ; 0.000      ; 0.392      ;
; 0.246  ; T80se:z80_inst|T80:u0|ACC[5]                                                                     ; T80se:z80_inst|T80:u0|Ap[5]                                                                                                               ; SW[8]                           ; SW[8]       ; 0.000        ; 0.000      ; 0.398      ;
; 0.250  ; T80se:z80_inst|T80:u0|ACC[4]                                                                     ; T80se:z80_inst|T80:u0|Ap[4]                                                                                                               ; SW[8]                           ; SW[8]       ; 0.000        ; 0.000      ; 0.402      ;
; 0.263  ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_tr91:auto_generated|out_address_reg_a[1] ; T80se:z80_inst|DI_Reg[3]                                                                                                                  ; clk_div:clkdiv_inst|clock_25MHz ; SW[8]       ; 0.000        ; 1.606      ; 2.021      ;
; 0.292  ; T80se:z80_inst|T80:u0|Ap[4]                                                                      ; T80se:z80_inst|T80:u0|ACC[4]                                                                                                              ; SW[8]                           ; SW[8]       ; 0.000        ; 0.000      ; 0.444      ;
; 0.293  ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_tr91:auto_generated|out_address_reg_a[1] ; T80se:z80_inst|T80:u0|IR[2]                                                                                                               ; clk_div:clkdiv_inst|clock_25MHz ; SW[8]       ; 0.000        ; 1.602      ; 2.047      ;
; 0.295  ; T80se:z80_inst|T80:u0|Ap[6]                                                                      ; T80se:z80_inst|T80:u0|ACC[6]                                                                                                              ; SW[8]                           ; SW[8]       ; 0.000        ; 0.000      ; 0.447      ;
; 0.301  ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_tr91:auto_generated|ram_block1a28        ; T80se:z80_inst|DI_Reg[4]                                                                                                                  ; clk_div:clkdiv_inst|clock_25MHz ; SW[8]       ; 0.000        ; 1.560      ; 2.013      ;
; 0.314  ; T80se:z80_inst|T80:u0|XY_Ind                                                                     ; T80se:z80_inst|T80:u0|RegAddrA_r[0]                                                                                                       ; SW[8]                           ; SW[8]       ; 0.000        ; 0.000      ; 0.466      ;
; 0.329  ; T80se:z80_inst|T80:u0|F[3]                                                                       ; T80se:z80_inst|T80:u0|Fp[3]                                                                                                               ; SW[8]                           ; SW[8]       ; 0.000        ; 0.000      ; 0.481      ;
; 0.336  ; T80se:z80_inst|T80:u0|F[0]                                                                       ; T80se:z80_inst|T80:u0|Fp[0]                                                                                                               ; SW[8]                           ; SW[8]       ; 0.000        ; 0.000      ; 0.488      ;
; 0.338  ; T80se:z80_inst|T80:u0|ACC[6]                                                                     ; T80se:z80_inst|T80:u0|Ap[6]                                                                                                               ; SW[8]                           ; SW[8]       ; 0.000        ; 0.000      ; 0.490      ;
; 0.340  ; T80se:z80_inst|T80:u0|F[6]                                                                       ; T80se:z80_inst|T80:u0|Fp[6]                                                                                                               ; SW[8]                           ; SW[8]       ; 0.000        ; 0.000      ; 0.492      ;
; 0.341  ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_tr91:auto_generated|ram_block1a25        ; T80se:z80_inst|DI_Reg[1]                                                                                                                  ; clk_div:clkdiv_inst|clock_25MHz ; SW[8]       ; 0.000        ; 1.555      ; 2.048      ;
; 0.342  ; T80se:z80_inst|T80:u0|F[2]                                                                       ; T80se:z80_inst|T80:u0|Fp[2]                                                                                                               ; SW[8]                           ; SW[8]       ; 0.000        ; 0.000      ; 0.494      ;
; 0.345  ; T80se:z80_inst|T80:u0|ACC[6]                                                                     ; T80se:z80_inst|T80:u0|I[6]                                                                                                                ; SW[8]                           ; SW[8]       ; 0.000        ; 0.000      ; 0.497      ;
; 0.346  ; T80se:z80_inst|T80:u0|ACC[4]                                                                     ; T80se:z80_inst|T80:u0|I[4]                                                                                                                ; SW[8]                           ; SW[8]       ; 0.000        ; 0.000      ; 0.498      ;
; 0.355  ; T80se:z80_inst|T80:u0|ACC[1]                                                                     ; T80se:z80_inst|T80:u0|I[1]                                                                                                                ; SW[8]                           ; SW[8]       ; 0.000        ; 0.000      ; 0.507      ;
; 0.358  ; T80se:z80_inst|T80:u0|R[4]                                                                       ; T80se:z80_inst|T80:u0|R[4]                                                                                                                ; SW[8]                           ; SW[8]       ; 0.000        ; 0.000      ; 0.510      ;
; 0.359  ; T80se:z80_inst|T80:u0|ACC[5]                                                                     ; T80se:z80_inst|T80:u0|I[5]                                                                                                                ; SW[8]                           ; SW[8]       ; 0.000        ; 0.000      ; 0.511      ;
; 0.359  ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_tr91:auto_generated|out_address_reg_a[1] ; T80se:z80_inst|T80:u0|IR[3]                                                                                                               ; clk_div:clkdiv_inst|clock_25MHz ; SW[8]       ; 0.000        ; 1.602      ; 2.113      ;
; 0.361  ; T80se:z80_inst|T80:u0|I[2]                                                                       ; T80se:z80_inst|T80:u0|A[10]                                                                                                               ; SW[8]                           ; SW[8]       ; 0.000        ; 0.000      ; 0.513      ;
; 0.364  ; T80se:z80_inst|T80:u0|R[2]                                                                       ; T80se:z80_inst|T80:u0|R[2]                                                                                                                ; SW[8]                           ; SW[8]       ; 0.000        ; 0.000      ; 0.516      ;
; 0.369  ; T80se:z80_inst|T80:u0|R[0]                                                                       ; T80se:z80_inst|T80:u0|R[0]                                                                                                                ; SW[8]                           ; SW[8]       ; 0.000        ; 0.000      ; 0.521      ;
; 0.369  ; T80se:z80_inst|T80:u0|R[1]                                                                       ; T80se:z80_inst|T80:u0|R[1]                                                                                                                ; SW[8]                           ; SW[8]       ; 0.000        ; 0.000      ; 0.521      ;
; 0.369  ; T80se:z80_inst|T80:u0|TState[1]                                                                  ; T80se:z80_inst|T80:u0|TState[2]                                                                                                           ; SW[8]                           ; SW[8]       ; 0.000        ; 0.000      ; 0.521      ;
; 0.374  ; T80se:z80_inst|T80:u0|R[5]                                                                       ; T80se:z80_inst|T80:u0|R[5]                                                                                                                ; SW[8]                           ; SW[8]       ; 0.000        ; 0.000      ; 0.526      ;
; 0.375  ; T80se:z80_inst|T80:u0|Ap[5]                                                                      ; T80se:z80_inst|T80:u0|ACC[5]                                                                                                              ; SW[8]                           ; SW[8]       ; 0.000        ; 0.000      ; 0.527      ;
; 0.375  ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[2][3]                                                   ; T80se:z80_inst|T80:u0|RegBusA_r[3]                                                                                                        ; SW[8]                           ; SW[8]       ; 0.000        ; 0.000      ; 0.527      ;
; 0.376  ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[7][4]                                                   ; T80se:z80_inst|T80:u0|RegBusA_r[4]                                                                                                        ; SW[8]                           ; SW[8]       ; 0.000        ; 0.000      ; 0.528      ;
; 0.376  ; T80se:z80_inst|T80:u0|R[3]                                                                       ; T80se:z80_inst|T80:u0|R[3]                                                                                                                ; SW[8]                           ; SW[8]       ; 0.000        ; 0.000      ; 0.528      ;
; 0.384  ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_tr91:auto_generated|out_address_reg_a[1] ; T80se:z80_inst|T80:u0|IR[0]                                                                                                               ; clk_div:clkdiv_inst|clock_25MHz ; SW[8]       ; 0.000        ; 1.601      ; 2.137      ;
; 0.385  ; T80se:z80_inst|T80:u0|XY_Ind                                                                     ; T80se:z80_inst|T80:u0|RegAddrB_r[0]                                                                                                       ; SW[8]                           ; SW[8]       ; 0.000        ; 0.000      ; 0.537      ;
; 0.386  ; T80se:z80_inst|T80:u0|TState[0]                                                                  ; T80se:z80_inst|T80:u0|TState[1]                                                                                                           ; SW[8]                           ; SW[8]       ; 0.000        ; 0.000      ; 0.538      ;
; 0.391  ; T80se:z80_inst|T80:u0|TState[0]                                                                  ; T80se:z80_inst|T80:u0|TState[2]                                                                                                           ; SW[8]                           ; SW[8]       ; 0.000        ; 0.000      ; 0.543      ;
; 0.399  ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_tr91:auto_generated|out_address_reg_a[1] ; T80se:z80_inst|DI_Reg[1]                                                                                                                  ; clk_div:clkdiv_inst|clock_25MHz ; SW[8]       ; 0.000        ; 1.606      ; 2.157      ;
; 0.402  ; T80se:z80_inst|T80:u0|TState[0]                                                                  ; T80se:z80_inst|MREQ_n                                                                                                                     ; SW[8]                           ; SW[8]       ; 0.000        ; 0.000      ; 0.554      ;
; 0.408  ; T80se:z80_inst|T80:u0|A[6]                                                                       ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_66l1:auto_generated|altsyncram_pal1:altsyncram1|ram_block2a7~portb_address_reg6 ; SW[8]                           ; SW[8]       ; 0.000        ; 0.069      ; 0.615      ;
; 0.409  ; T80se:z80_inst|T80:u0|F[5]                                                                       ; T80se:z80_inst|T80:u0|Fp[5]                                                                                                               ; SW[8]                           ; SW[8]       ; 0.000        ; 0.000      ; 0.561      ;
; 0.409  ; T80se:z80_inst|T80:u0|A[5]                                                                       ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_66l1:auto_generated|altsyncram_pal1:altsyncram1|ram_block2a4~portb_address_reg5 ; SW[8]                           ; SW[8]       ; 0.000        ; 0.072      ; 0.619      ;
; 0.417  ; T80se:z80_inst|T80:u0|A[5]                                                                       ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_66l1:auto_generated|altsyncram_pal1:altsyncram1|ram_block2a7~portb_address_reg5 ; SW[8]                           ; SW[8]       ; 0.000        ; 0.069      ; 0.624      ;
; 0.418  ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_tr91:auto_generated|ram_block1a5         ; T80se:z80_inst|DI_Reg[5]                                                                                                                  ; clk_div:clkdiv_inst|clock_25MHz ; SW[8]       ; 0.000        ; 1.555      ; 2.125      ;
; 0.419  ; T80se:z80_inst|T80:u0|A[7]                                                                       ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_66l1:auto_generated|altsyncram_pal1:altsyncram1|ram_block2a7~portb_address_reg7 ; SW[8]                           ; SW[8]       ; 0.000        ; 0.069      ; 0.626      ;
; 0.426  ; T80se:z80_inst|T80:u0|PC[0]                                                                      ; T80se:z80_inst|T80:u0|PC[0]                                                                                                               ; SW[8]                           ; SW[8]       ; 0.000        ; 0.000      ; 0.578      ;
; 0.426  ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[2][3]                                                   ; T80se:z80_inst|T80:u0|RegBusA_r[11]                                                                                                       ; SW[8]                           ; SW[8]       ; 0.000        ; 0.000      ; 0.578      ;
; 0.426  ; T80se:z80_inst|T80:u0|ACC[2]                                                                     ; T80se:z80_inst|T80:u0|Ap[2]                                                                                                               ; SW[8]                           ; SW[8]       ; 0.000        ; 0.001      ; 0.579      ;
; 0.427  ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[2][1]                                                   ; T80se:z80_inst|T80:u0|RegBusA_r[1]                                                                                                        ; SW[8]                           ; SW[8]       ; 0.000        ; 0.000      ; 0.579      ;
+--------+--------------------------------------------------------------------------------------------------+-------------------------------------------------------------------------------------------------------------------------------------------+---------------------------------+-------------+--------------+------------+------------+


+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Fast Model Hold: 'clk_div:clkdiv_inst|clock_1Khz_int'                                                                                                                                                ;
+-------+-------------------------------------+-------------------------------------+------------------------------------+------------------------------------+--------------+------------+------------+
; Slack ; From Node                           ; To Node                             ; Launch Clock                       ; Latch Clock                        ; Relationship ; Clock Skew ; Data Delay ;
+-------+-------------------------------------+-------------------------------------+------------------------------------+------------------------------------+--------------+------------+------------+
; 0.215 ; clk_div:clkdiv_inst|count_100hz[1]  ; clk_div:clkdiv_inst|count_100hz[1]  ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; 0.000        ; 0.000      ; 0.367      ;
; 0.215 ; clk_div:clkdiv_inst|count_100hz[0]  ; clk_div:clkdiv_inst|count_100hz[0]  ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; 0.000        ; 0.000      ; 0.367      ;
; 0.215 ; clk_div:clkdiv_inst|count_100hz[2]  ; clk_div:clkdiv_inst|count_100hz[2]  ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; 0.000        ; 0.000      ; 0.367      ;
; 0.215 ; clk_div:clkdiv_inst|clock_100hz_int ; clk_div:clkdiv_inst|clock_100hz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; 0.000        ; 0.000      ; 0.367      ;
; 0.253 ; clk_div:clkdiv_inst|count_100hz[2]  ; clk_div:clkdiv_inst|clock_100hz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; 0.000        ; 0.000      ; 0.405      ;
; 0.254 ; clk_div:clkdiv_inst|count_100hz[0]  ; clk_div:clkdiv_inst|count_100hz[2]  ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; 0.000        ; 0.000      ; 0.406      ;
; 0.255 ; clk_div:clkdiv_inst|count_100hz[0]  ; clk_div:clkdiv_inst|count_100hz[1]  ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; 0.000        ; 0.000      ; 0.407      ;
; 0.255 ; clk_div:clkdiv_inst|count_100hz[1]  ; clk_div:clkdiv_inst|count_100hz[0]  ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; 0.000        ; 0.000      ; 0.407      ;
; 0.370 ; clk_div:clkdiv_inst|count_100hz[2]  ; clk_div:clkdiv_inst|count_100hz[0]  ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; 0.000        ; 0.000      ; 0.522      ;
; 0.373 ; clk_div:clkdiv_inst|count_100hz[1]  ; clk_div:clkdiv_inst|count_100hz[2]  ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; 0.000        ; 0.000      ; 0.525      ;
; 0.373 ; clk_div:clkdiv_inst|count_100hz[0]  ; clk_div:clkdiv_inst|clock_100hz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; 0.000        ; 0.000      ; 0.525      ;
; 0.441 ; clk_div:clkdiv_inst|count_100hz[1]  ; clk_div:clkdiv_inst|clock_100hz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; 0.000        ; 0.000      ; 0.593      ;
+-------+-------------------------------------+-------------------------------------+------------------------------------+------------------------------------+--------------+------------+------------+


+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Fast Model Hold: 'clk_div:clkdiv_inst|clock_25MHz'                                                                                                                                                                                                                                                                                                             ;
+-------+----------------------------------------------------------------------------------------------+--------------------------------------------------------------------------------------------------------------------------------------------+---------------------------------+---------------------------------+--------------+------------+------------+
; Slack ; From Node                                                                                    ; To Node                                                                                                                                    ; Launch Clock                    ; Latch Clock                     ; Relationship ; Clock Skew ; Data Delay ;
+-------+----------------------------------------------------------------------------------------------+--------------------------------------------------------------------------------------------------------------------------------------------+---------------------------------+---------------------------------+--------------+------------+------------+
; 0.215 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[8]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[8]                                                                                         ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.000      ; 0.367      ;
; 0.215 ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[4]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[4]                                                                                         ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.000      ; 0.367      ;
; 0.215 ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[6]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[6]                                                                                         ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.000      ; 0.367      ;
; 0.215 ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[7]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[7]                                                                                         ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.000      ; 0.367      ;
; 0.215 ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[8]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[8]                                                                                         ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.000      ; 0.367      ;
; 0.215 ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[9]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[9]                                                                                         ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.000      ; 0.367      ;
; 0.215 ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[3]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[3]                                                                                         ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.000      ; 0.367      ;
; 0.215 ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[2]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[2]                                                                                         ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.000      ; 0.367      ;
; 0.215 ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[5]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[5]                                                                                         ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.000      ; 0.367      ;
; 0.238 ; video:video_inst|VGA_SYNC:vga_sync_inst|horiz_sync                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|horiz_sync_out                                                                                     ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.000      ; 0.390      ;
; 0.244 ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_tr91:auto_generated|address_reg_a[0] ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_tr91:auto_generated|out_address_reg_a[0]                                           ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.000      ; 0.396      ;
; 0.258 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[3]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[3]                                                                                    ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.000      ; 0.410      ;
; 0.264 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[8]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[5]                                                                                         ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.000      ; 0.416      ;
; 0.264 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[8]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[0]                                                                                         ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.000      ; 0.416      ;
; 0.265 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[8]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[9]                                                                                         ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.000      ; 0.417      ;
; 0.323 ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_tr91:auto_generated|address_reg_a[1] ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_tr91:auto_generated|out_address_reg_a[1]                                           ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.000      ; 0.475      ;
; 0.352 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[6]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[6]                                                                                    ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.000      ; 0.504      ;
; 0.356 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[1]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[1]                                                                                         ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.000      ; 0.508      ;
; 0.357 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[4]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[4]                                                                                    ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.000      ; 0.509      ;
; 0.363 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[7]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[7]                                                                                         ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.000      ; 0.515      ;
; 0.367 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[3]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[3]                                                                                         ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.000      ; 0.519      ;
; 0.378 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[2]                                      ; video:video_inst|VGA_SYNC:vga_sync_inst|blue_out[3]                                                                                        ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.000      ; 0.530      ;
; 0.383 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[2]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[2]                                                                                         ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.000      ; 0.535      ;
; 0.389 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[4]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[4]                                                                                         ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.000      ; 0.541      ;
; 0.389 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[6]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[6]                                                                                         ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.000      ; 0.541      ;
; 0.399 ; video:video_inst|VGA_SYNC:vga_sync_inst|vert_sync                                            ; video:video_inst|VGA_SYNC:vga_sync_inst|vert_sync_out                                                                                      ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.001      ; 0.552      ;
; 0.413 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[2]                                         ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a1~porta_address_reg2    ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.066      ; 0.617      ;
; 0.434 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[2]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[2]                                                                                    ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; -0.002     ; 0.584      ;
; 0.476 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[8]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|video_on_h                                                                                         ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; -0.002     ; 0.626      ;
; 0.505 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[3]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[4]                                                                                         ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.000      ; 0.657      ;
; 0.516 ; video:video_inst|VGA_SYNC:vga_sync_inst|video_on_h                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|blue_out[3]                                                                                        ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.000      ; 0.668      ;
; 0.523 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[2]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[3]                                                                                         ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.000      ; 0.675      ;
; 0.529 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[6]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[7]                                                                                         ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.000      ; 0.681      ;
; 0.536 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[2]                                         ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a5~porta_address_reg2    ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.067      ; 0.741      ;
; 0.537 ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[6]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[6]                                                                                       ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; -0.002     ; 0.687      ;
; 0.538 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[3]                                      ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_66l1:auto_generated|altsyncram_pal1:altsyncram1|ram_block2a14~porta_address_reg0 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.065      ; 0.741      ;
; 0.541 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[2]                                         ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a0~porta_address_reg2    ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.061      ; 0.740      ;
; 0.541 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[2]                                         ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a4~porta_address_reg2    ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.064      ; 0.743      ;
; 0.545 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[9]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[9]                                                                                    ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; -0.001     ; 0.696      ;
; 0.549 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[8]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[8]                                                                                    ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; -0.001     ; 0.700      ;
; 0.549 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[1]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[2]                                                                                         ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.000      ; 0.701      ;
; 0.550 ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[3]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[3]                                                                                       ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; -0.002     ; 0.700      ;
; 0.550 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[0]                                         ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a4~porta_address_reg0    ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.064      ; 0.752      ;
; 0.553 ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[5]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[5]                                                                                       ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; -0.002     ; 0.703      ;
; 0.558 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[1]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[1]                                                                                    ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; -0.002     ; 0.708      ;
; 0.558 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[2]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[4]                                                                                         ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.000      ; 0.710      ;
; 0.563 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[6]                                      ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_66l1:auto_generated|altsyncram_pal1:altsyncram1|ram_block2a14~porta_address_reg3 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.065      ; 0.766      ;
; 0.563 ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[9]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|vert_sync                                                                                          ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; -0.001     ; 0.714      ;
; 0.564 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[4]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[6]                                                                                         ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.000      ; 0.716      ;
; 0.567 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[5]                                      ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_66l1:auto_generated|altsyncram_pal1:altsyncram1|ram_block2a12~porta_address_reg2 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.065      ; 0.770      ;
; 0.567 ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[2]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[2]                                                                                       ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; -0.001     ; 0.718      ;
; 0.570 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[0]                                         ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a0~porta_address_reg0    ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.061      ; 0.769      ;
; 0.571 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[1]                                         ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a0~porta_address_reg1    ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.061      ; 0.770      ;
; 0.571 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[5]                                      ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_66l1:auto_generated|altsyncram_pal1:altsyncram1|ram_block2a8~porta_address_reg2  ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.057      ; 0.766      ;
; 0.572 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[5]                                      ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_66l1:auto_generated|altsyncram_pal1:altsyncram1|ram_block2a4~porta_address_reg2  ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.063      ; 0.773      ;
; 0.572 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[3]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[5]                                                                                         ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.000      ; 0.724      ;
; 0.575 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[6]                                      ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_66l1:auto_generated|altsyncram_pal1:altsyncram1|ram_block2a12~porta_address_reg3 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.064      ; 0.777      ;
; 0.575 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[6]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|horiz_sync                                                                                         ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; -0.002     ; 0.725      ;
; 0.575 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[3]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[6]                                                                                         ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.000      ; 0.727      ;
; 0.576 ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[8]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[8]                                                                                       ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; -0.002     ; 0.726      ;
; 0.578 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[5]                                      ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_66l1:auto_generated|altsyncram_pal1:altsyncram1|ram_block2a10~porta_address_reg2 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.052      ; 0.768      ;
; 0.579 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[4]                                      ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_66l1:auto_generated|altsyncram_pal1:altsyncram1|ram_block2a8~porta_address_reg1  ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.056      ; 0.773      ;
; 0.582 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[6]                                      ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_66l1:auto_generated|altsyncram_pal1:altsyncram1|ram_block2a8~porta_address_reg3  ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.056      ; 0.776      ;
; 0.584 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[1]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[3]                                                                                         ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.000      ; 0.736      ;
; 0.586 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[9]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|video_on_h                                                                                         ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; -0.002     ; 0.736      ;
; 0.588 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[6]                                      ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_66l1:auto_generated|altsyncram_pal1:altsyncram1|ram_block2a4~porta_address_reg3  ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.062      ; 0.788      ;
; 0.594 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[5]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[6]                                                                                         ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.000      ; 0.746      ;
; 0.598 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[6]                                      ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_66l1:auto_generated|altsyncram_pal1:altsyncram1|ram_block2a7~porta_address_reg3  ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.059      ; 0.795      ;
; 0.598 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[7]                                      ; video:video_inst|VGA_SYNC:vga_sync_inst|blue_out[3]                                                                                        ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; -0.001     ; 0.749      ;
; 0.599 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[4]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[7]                                                                                         ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.000      ; 0.751      ;
; 0.610 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[3]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[7]                                                                                         ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.000      ; 0.762      ;
; 0.616 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[7]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|video_on_h                                                                                         ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; -0.002     ; 0.766      ;
; 0.619 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[1]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[4]                                                                                         ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.000      ; 0.771      ;
; 0.628 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[2]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[6]                                                                                         ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.000      ; 0.780      ;
; 0.629 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[5]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[7]                                                                                         ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.000      ; 0.781      ;
; 0.636 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[2]                                      ; video:video_inst|VGA_SYNC:vga_sync_inst|blue_out[0]                                                                                        ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.000      ; 0.788      ;
; 0.637 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[2]                                      ; video:video_inst|VGA_SYNC:vga_sync_inst|blue_out[1]                                                                                        ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.000      ; 0.789      ;
; 0.637 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[4]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[5]                                                                                         ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.000      ; 0.789      ;
; 0.638 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[3]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[8]                                                                                         ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.000      ; 0.790      ;
; 0.639 ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[4]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[4]                                                                                       ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; -0.001     ; 0.790      ;
; 0.639 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[2]                                      ; video:video_inst|VGA_SYNC:vga_sync_inst|blue_out[2]                                                                                        ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.000      ; 0.791      ;
; 0.639 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[9]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[9]                                                                                         ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.000      ; 0.791      ;
; 0.643 ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[8]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[1]                                                                                         ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; -0.001     ; 0.794      ;
; 0.643 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[3]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[9]                                                                                         ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.000      ; 0.795      ;
; 0.645 ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[8]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[0]                                                                                         ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; -0.001     ; 0.796      ;
; 0.646 ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[0]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[0]                                                                                       ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; -0.001     ; 0.797      ;
; 0.646 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[3]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[0]                                                                                         ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.000      ; 0.798      ;
; 0.654 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[7]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[7]                                                                                    ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; -0.001     ; 0.805      ;
; 0.655 ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[4]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|vert_sync                                                                                          ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; -0.001     ; 0.806      ;
; 0.663 ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[6]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[7]                                                                                         ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.000      ; 0.815      ;
; 0.663 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[2]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[7]                                                                                         ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.000      ; 0.815      ;
; 0.667 ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[1]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[1]                                                                                       ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; -0.001     ; 0.818      ;
; 0.678 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[2]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|horiz_sync                                                                                         ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; -0.002     ; 0.828      ;
; 0.684 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[7]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[5]                                                                                         ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.000      ; 0.836      ;
; 0.686 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[8]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|horiz_sync                                                                                         ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; -0.002     ; 0.836      ;
; 0.689 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[1]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[6]                                                                                         ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.000      ; 0.841      ;
; 0.692 ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[1]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[1]                                                                                         ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.000      ; 0.844      ;
; 0.694 ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[1]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[0]                                                                                         ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.000      ; 0.846      ;
; 0.698 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[5]                                      ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_66l1:auto_generated|altsyncram_pal1:altsyncram1|ram_block2a14~porta_address_reg2 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.066      ; 0.902      ;
; 0.698 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[5]                                      ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_66l1:auto_generated|altsyncram_pal1:altsyncram1|ram_block2a2~porta_address_reg2  ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.059      ; 0.895      ;
+-------+----------------------------------------------------------------------------------------------+--------------------------------------------------------------------------------------------------------------------------------------------+---------------------------------+---------------------------------+--------------+------------+------------+


+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Fast Model Hold: 'clk_div:clkdiv_inst|clock_100Hz'                                                                                                                                                                                ;
+-------+----------------------------------------------------+-----------------------------+-------------------------------------------------------------+---------------------------------+--------------+------------+------------+
; Slack ; From Node                                          ; To Node                     ; Launch Clock                                                ; Latch Clock                     ; Relationship ; Clock Skew ; Data Delay ;
+-------+----------------------------------------------------+-----------------------------+-------------------------------------------------------------+---------------------------------+--------------+------------+------------+
; 0.242 ; ps2kbd:ps2_kbd_inst|caps[0]                        ; ps2kbd:ps2_kbd_inst|caps[1] ; clk_div:clkdiv_inst|clock_100Hz                             ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; 0.000      ; 0.394      ;
; 0.260 ; ps2kbd:ps2_kbd_inst|caps[1]                        ; ps2kbd:ps2_kbd_inst|caps[0] ; clk_div:clkdiv_inst|clock_100Hz                             ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; 0.000      ; 0.412      ;
; 0.753 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[2] ; ps2kbd:ps2_kbd_inst|caps[1] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; 0.067      ; 0.972      ;
; 0.753 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[2] ; ps2kbd:ps2_kbd_inst|caps[0] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; 0.067      ; 0.972      ;
; 0.837 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[6] ; ps2kbd:ps2_kbd_inst|caps[1] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; 0.067      ; 1.056      ;
; 0.837 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[6] ; ps2kbd:ps2_kbd_inst|caps[0] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; 0.067      ; 1.056      ;
; 0.847 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[0] ; ps2kbd:ps2_kbd_inst|caps[1] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; 0.067      ; 1.066      ;
; 0.847 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[0] ; ps2kbd:ps2_kbd_inst|caps[0] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; 0.067      ; 1.066      ;
; 1.012 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[1] ; ps2kbd:ps2_kbd_inst|caps[1] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; 0.067      ; 1.231      ;
; 1.012 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[1] ; ps2kbd:ps2_kbd_inst|caps[0] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; 0.067      ; 1.231      ;
; 1.118 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[5] ; ps2kbd:ps2_kbd_inst|caps[1] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; 0.067      ; 1.337      ;
; 1.118 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[5] ; ps2kbd:ps2_kbd_inst|caps[0] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; 0.067      ; 1.337      ;
; 1.186 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[7] ; ps2kbd:ps2_kbd_inst|caps[1] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; 0.073      ; 1.411      ;
; 1.186 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[7] ; ps2kbd:ps2_kbd_inst|caps[0] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; 0.073      ; 1.411      ;
; 1.267 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[4] ; ps2kbd:ps2_kbd_inst|caps[1] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; 0.067      ; 1.486      ;
; 1.267 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[4] ; ps2kbd:ps2_kbd_inst|caps[0] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; 0.067      ; 1.486      ;
; 1.323 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[3] ; ps2kbd:ps2_kbd_inst|caps[1] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; 0.067      ; 1.542      ;
; 1.323 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[3] ; ps2kbd:ps2_kbd_inst|caps[0] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; 0.067      ; 1.542      ;
+-------+----------------------------------------------------+-----------------------------+-------------------------------------------------------------+---------------------------------+--------------+------------+------------+


+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Fast Model Hold: 'clk_div:clkdiv_inst|clock_25Mhz_int'                                                                                                                                              ;
+-------+-----------------------------------+------------------------------------+-------------------------------------+-------------------------------------+--------------+------------+------------+
; Slack ; From Node                         ; To Node                            ; Launch Clock                        ; Latch Clock                         ; Relationship ; Clock Skew ; Data Delay ;
+-------+-----------------------------------+------------------------------------+-------------------------------------+-------------------------------------+--------------+------------+------------+
; 0.251 ; clk_div:clkdiv_inst|count_1Mhz[4] ; clk_div:clkdiv_inst|count_1Mhz[4]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 0.000        ; 0.000      ; 0.403      ;
; 0.253 ; clk_div:clkdiv_inst|count_1Mhz[4] ; clk_div:clkdiv_inst|clock_1Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 0.000        ; 0.000      ; 0.405      ;
; 0.293 ; clk_div:clkdiv_inst|count_1Mhz[2] ; clk_div:clkdiv_inst|clock_1Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 0.000        ; 0.000      ; 0.445      ;
; 0.354 ; clk_div:clkdiv_inst|count_1Mhz[1] ; clk_div:clkdiv_inst|count_1Mhz[1]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 0.000        ; 0.000      ; 0.506      ;
; 0.369 ; clk_div:clkdiv_inst|count_1Mhz[3] ; clk_div:clkdiv_inst|count_1Mhz[3]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 0.000        ; 0.000      ; 0.521      ;
; 0.370 ; clk_div:clkdiv_inst|count_1Mhz[0] ; clk_div:clkdiv_inst|count_1Mhz[0]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 0.000        ; 0.000      ; 0.522      ;
; 0.370 ; clk_div:clkdiv_inst|count_1Mhz[3] ; clk_div:clkdiv_inst|clock_1Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 0.000        ; 0.000      ; 0.522      ;
; 0.372 ; clk_div:clkdiv_inst|count_1Mhz[2] ; clk_div:clkdiv_inst|count_1Mhz[2]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 0.000        ; 0.000      ; 0.524      ;
; 0.492 ; clk_div:clkdiv_inst|count_1Mhz[1] ; clk_div:clkdiv_inst|count_1Mhz[2]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 0.000        ; 0.000      ; 0.644      ;
; 0.507 ; clk_div:clkdiv_inst|count_1Mhz[3] ; clk_div:clkdiv_inst|count_1Mhz[4]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 0.000        ; 0.000      ; 0.659      ;
; 0.510 ; clk_div:clkdiv_inst|count_1Mhz[0] ; clk_div:clkdiv_inst|count_1Mhz[1]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 0.000        ; 0.000      ; 0.662      ;
; 0.512 ; clk_div:clkdiv_inst|count_1Mhz[2] ; clk_div:clkdiv_inst|count_1Mhz[3]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 0.000        ; 0.000      ; 0.664      ;
; 0.527 ; clk_div:clkdiv_inst|count_1Mhz[1] ; clk_div:clkdiv_inst|count_1Mhz[3]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 0.000        ; 0.000      ; 0.679      ;
; 0.545 ; clk_div:clkdiv_inst|count_1Mhz[0] ; clk_div:clkdiv_inst|count_1Mhz[2]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 0.000        ; 0.000      ; 0.697      ;
; 0.547 ; clk_div:clkdiv_inst|count_1Mhz[2] ; clk_div:clkdiv_inst|count_1Mhz[4]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 0.000        ; 0.000      ; 0.699      ;
; 0.562 ; clk_div:clkdiv_inst|count_1Mhz[1] ; clk_div:clkdiv_inst|count_1Mhz[4]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 0.000        ; 0.000      ; 0.714      ;
; 0.580 ; clk_div:clkdiv_inst|count_1Mhz[0] ; clk_div:clkdiv_inst|count_1Mhz[3]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 0.000        ; 0.000      ; 0.732      ;
; 0.601 ; clk_div:clkdiv_inst|count_1Mhz[4] ; clk_div:clkdiv_inst|count_1Mhz[0]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 0.000        ; 0.000      ; 0.753      ;
; 0.601 ; clk_div:clkdiv_inst|count_1Mhz[4] ; clk_div:clkdiv_inst|count_1Mhz[1]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 0.000        ; 0.000      ; 0.753      ;
; 0.601 ; clk_div:clkdiv_inst|count_1Mhz[4] ; clk_div:clkdiv_inst|count_1Mhz[2]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 0.000        ; 0.000      ; 0.753      ;
; 0.601 ; clk_div:clkdiv_inst|count_1Mhz[4] ; clk_div:clkdiv_inst|count_1Mhz[3]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 0.000        ; 0.000      ; 0.753      ;
; 0.615 ; clk_div:clkdiv_inst|count_1Mhz[0] ; clk_div:clkdiv_inst|count_1Mhz[4]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 0.000        ; 0.000      ; 0.767      ;
; 0.723 ; clk_div:clkdiv_inst|count_1Mhz[3] ; clk_div:clkdiv_inst|count_1Mhz[0]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 0.000        ; 0.000      ; 0.875      ;
; 0.723 ; clk_div:clkdiv_inst|count_1Mhz[3] ; clk_div:clkdiv_inst|count_1Mhz[1]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 0.000        ; 0.000      ; 0.875      ;
; 0.723 ; clk_div:clkdiv_inst|count_1Mhz[3] ; clk_div:clkdiv_inst|count_1Mhz[2]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 0.000        ; 0.000      ; 0.875      ;
+-------+-----------------------------------+------------------------------------+-------------------------------------+-------------------------------------+--------------+------------+------------+


+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Fast Model Recovery: 'ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set'                                                                                                          ;
+--------+-----------+--------------------------------------------------+--------------+-------------------------------------------------+--------------+------------+------------+
; Slack  ; From Node ; To Node                                          ; Launch Clock ; Latch Clock                                     ; Relationship ; Clock Skew ; Data Delay ;
+--------+-----------+--------------------------------------------------+--------------+-------------------------------------------------+--------------+------------+------------+
; -0.895 ; ps2_read  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_ready ; CLOCK_50     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set ; 1.000        ; -1.105     ; 0.822      ;
+--------+-----------+--------------------------------------------------+--------------+-------------------------------------------------+--------------+------------+------------+


+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Fast Model Removal: 'ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set'                                                                                                          ;
+-------+-----------+--------------------------------------------------+--------------+-------------------------------------------------+--------------+------------+------------+
; Slack ; From Node ; To Node                                          ; Launch Clock ; Latch Clock                                     ; Relationship ; Clock Skew ; Data Delay ;
+-------+-----------+--------------------------------------------------+--------------+-------------------------------------------------+--------------+------------+------------+
; 1.775 ; ps2_read  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_ready ; CLOCK_50     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set ; 0.000        ; -1.105     ; 0.822      ;
+-------+-----------+--------------------------------------------------+--------------+-------------------------------------------------+--------------+------------+------------+


+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Fast Model Minimum Pulse Width: 'clk_div:clkdiv_inst|clock_25MHz'                                                                                                                                                                                     ;
+--------+--------------+----------------+------------------+---------------------------------+------------+--------------------------------------------------------------------------------------------------------------------------------------------+
; Slack  ; Actual Width ; Required Width ; Type             ; Clock                           ; Clock Edge ; Target                                                                                                                                     ;
+--------+--------------+----------------+------------------+---------------------------------+------------+--------------------------------------------------------------------------------------------------------------------------------------------+
; -1.880 ; 0.500        ; 2.380          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a0~porta_address_reg0    ;
; -1.880 ; 0.500        ; 2.380          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a0~porta_address_reg0    ;
; -1.880 ; 0.500        ; 2.380          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a0~porta_address_reg1    ;
; -1.880 ; 0.500        ; 2.380          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a0~porta_address_reg1    ;
; -1.880 ; 0.500        ; 2.380          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a0~porta_address_reg10   ;
; -1.880 ; 0.500        ; 2.380          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a0~porta_address_reg10   ;
; -1.880 ; 0.500        ; 2.380          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a0~porta_address_reg2    ;
; -1.880 ; 0.500        ; 2.380          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a0~porta_address_reg2    ;
; -1.880 ; 0.500        ; 2.380          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a0~porta_address_reg3    ;
; -1.880 ; 0.500        ; 2.380          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a0~porta_address_reg3    ;
; -1.880 ; 0.500        ; 2.380          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a0~porta_address_reg4    ;
; -1.880 ; 0.500        ; 2.380          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a0~porta_address_reg4    ;
; -1.880 ; 0.500        ; 2.380          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a0~porta_address_reg5    ;
; -1.880 ; 0.500        ; 2.380          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a0~porta_address_reg5    ;
; -1.880 ; 0.500        ; 2.380          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a0~porta_address_reg6    ;
; -1.880 ; 0.500        ; 2.380          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a0~porta_address_reg6    ;
; -1.880 ; 0.500        ; 2.380          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a0~porta_address_reg7    ;
; -1.880 ; 0.500        ; 2.380          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a0~porta_address_reg7    ;
; -1.880 ; 0.500        ; 2.380          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a0~porta_address_reg8    ;
; -1.880 ; 0.500        ; 2.380          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a0~porta_address_reg8    ;
; -1.880 ; 0.500        ; 2.380          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a0~porta_address_reg9    ;
; -1.880 ; 0.500        ; 2.380          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a0~porta_address_reg9    ;
; -1.880 ; 0.500        ; 2.380          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a1~porta_address_reg0    ;
; -1.880 ; 0.500        ; 2.380          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a1~porta_address_reg0    ;
; -1.880 ; 0.500        ; 2.380          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a1~porta_address_reg1    ;
; -1.880 ; 0.500        ; 2.380          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a1~porta_address_reg1    ;
; -1.880 ; 0.500        ; 2.380          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a1~porta_address_reg10   ;
; -1.880 ; 0.500        ; 2.380          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a1~porta_address_reg10   ;
; -1.880 ; 0.500        ; 2.380          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a1~porta_address_reg2    ;
; -1.880 ; 0.500        ; 2.380          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a1~porta_address_reg2    ;
; -1.880 ; 0.500        ; 2.380          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a1~porta_address_reg3    ;
; -1.880 ; 0.500        ; 2.380          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a1~porta_address_reg3    ;
; -1.880 ; 0.500        ; 2.380          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a1~porta_address_reg4    ;
; -1.880 ; 0.500        ; 2.380          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a1~porta_address_reg4    ;
; -1.880 ; 0.500        ; 2.380          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a1~porta_address_reg5    ;
; -1.880 ; 0.500        ; 2.380          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a1~porta_address_reg5    ;
; -1.880 ; 0.500        ; 2.380          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a1~porta_address_reg6    ;
; -1.880 ; 0.500        ; 2.380          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a1~porta_address_reg6    ;
; -1.880 ; 0.500        ; 2.380          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a1~porta_address_reg7    ;
; -1.880 ; 0.500        ; 2.380          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a1~porta_address_reg7    ;
; -1.880 ; 0.500        ; 2.380          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a1~porta_address_reg8    ;
; -1.880 ; 0.500        ; 2.380          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a1~porta_address_reg8    ;
; -1.880 ; 0.500        ; 2.380          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a1~porta_address_reg9    ;
; -1.880 ; 0.500        ; 2.380          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a1~porta_address_reg9    ;
; -1.880 ; 0.500        ; 2.380          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a4~porta_address_reg0    ;
; -1.880 ; 0.500        ; 2.380          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a4~porta_address_reg0    ;
; -1.880 ; 0.500        ; 2.380          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a4~porta_address_reg1    ;
; -1.880 ; 0.500        ; 2.380          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a4~porta_address_reg1    ;
; -1.880 ; 0.500        ; 2.380          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a4~porta_address_reg10   ;
; -1.880 ; 0.500        ; 2.380          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a4~porta_address_reg10   ;
; -1.880 ; 0.500        ; 2.380          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a4~porta_address_reg2    ;
; -1.880 ; 0.500        ; 2.380          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a4~porta_address_reg2    ;
; -1.880 ; 0.500        ; 2.380          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a4~porta_address_reg3    ;
; -1.880 ; 0.500        ; 2.380          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a4~porta_address_reg3    ;
; -1.880 ; 0.500        ; 2.380          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a4~porta_address_reg4    ;
; -1.880 ; 0.500        ; 2.380          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a4~porta_address_reg4    ;
; -1.880 ; 0.500        ; 2.380          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a4~porta_address_reg5    ;
; -1.880 ; 0.500        ; 2.380          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a4~porta_address_reg5    ;
; -1.880 ; 0.500        ; 2.380          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a4~porta_address_reg6    ;
; -1.880 ; 0.500        ; 2.380          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a4~porta_address_reg6    ;
; -1.880 ; 0.500        ; 2.380          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a4~porta_address_reg7    ;
; -1.880 ; 0.500        ; 2.380          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a4~porta_address_reg7    ;
; -1.880 ; 0.500        ; 2.380          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a4~porta_address_reg8    ;
; -1.880 ; 0.500        ; 2.380          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a4~porta_address_reg8    ;
; -1.880 ; 0.500        ; 2.380          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a4~porta_address_reg9    ;
; -1.880 ; 0.500        ; 2.380          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a4~porta_address_reg9    ;
; -1.880 ; 0.500        ; 2.380          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a5~porta_address_reg0    ;
; -1.880 ; 0.500        ; 2.380          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a5~porta_address_reg0    ;
; -1.880 ; 0.500        ; 2.380          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a5~porta_address_reg1    ;
; -1.880 ; 0.500        ; 2.380          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a5~porta_address_reg1    ;
; -1.880 ; 0.500        ; 2.380          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a5~porta_address_reg10   ;
; -1.880 ; 0.500        ; 2.380          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a5~porta_address_reg10   ;
; -1.880 ; 0.500        ; 2.380          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a5~porta_address_reg2    ;
; -1.880 ; 0.500        ; 2.380          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a5~porta_address_reg2    ;
; -1.880 ; 0.500        ; 2.380          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a5~porta_address_reg3    ;
; -1.880 ; 0.500        ; 2.380          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a5~porta_address_reg3    ;
; -1.880 ; 0.500        ; 2.380          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a5~porta_address_reg4    ;
; -1.880 ; 0.500        ; 2.380          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a5~porta_address_reg4    ;
; -1.880 ; 0.500        ; 2.380          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a5~porta_address_reg5    ;
; -1.880 ; 0.500        ; 2.380          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a5~porta_address_reg5    ;
; -1.880 ; 0.500        ; 2.380          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a5~porta_address_reg6    ;
; -1.880 ; 0.500        ; 2.380          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a5~porta_address_reg6    ;
; -1.880 ; 0.500        ; 2.380          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a5~porta_address_reg7    ;
; -1.880 ; 0.500        ; 2.380          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a5~porta_address_reg7    ;
; -1.880 ; 0.500        ; 2.380          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a5~porta_address_reg8    ;
; -1.880 ; 0.500        ; 2.380          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a5~porta_address_reg8    ;
; -1.880 ; 0.500        ; 2.380          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a5~porta_address_reg9    ;
; -1.880 ; 0.500        ; 2.380          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a5~porta_address_reg9    ;
; -1.880 ; 0.500        ; 2.380          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_66l1:auto_generated|altsyncram_pal1:altsyncram1|ram_block2a0~porta_address_reg0  ;
; -1.880 ; 0.500        ; 2.380          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_66l1:auto_generated|altsyncram_pal1:altsyncram1|ram_block2a0~porta_address_reg0  ;
; -1.880 ; 0.500        ; 2.380          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_66l1:auto_generated|altsyncram_pal1:altsyncram1|ram_block2a0~porta_address_reg1  ;
; -1.880 ; 0.500        ; 2.380          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_66l1:auto_generated|altsyncram_pal1:altsyncram1|ram_block2a0~porta_address_reg1  ;
; -1.880 ; 0.500        ; 2.380          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_66l1:auto_generated|altsyncram_pal1:altsyncram1|ram_block2a0~porta_address_reg10 ;
; -1.880 ; 0.500        ; 2.380          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_66l1:auto_generated|altsyncram_pal1:altsyncram1|ram_block2a0~porta_address_reg10 ;
; -1.880 ; 0.500        ; 2.380          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_66l1:auto_generated|altsyncram_pal1:altsyncram1|ram_block2a0~porta_address_reg11 ;
; -1.880 ; 0.500        ; 2.380          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_66l1:auto_generated|altsyncram_pal1:altsyncram1|ram_block2a0~porta_address_reg11 ;
; -1.880 ; 0.500        ; 2.380          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_66l1:auto_generated|altsyncram_pal1:altsyncram1|ram_block2a0~porta_address_reg2  ;
; -1.880 ; 0.500        ; 2.380          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_66l1:auto_generated|altsyncram_pal1:altsyncram1|ram_block2a0~porta_address_reg2  ;
; -1.880 ; 0.500        ; 2.380          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_66l1:auto_generated|altsyncram_pal1:altsyncram1|ram_block2a0~porta_address_reg3  ;
; -1.880 ; 0.500        ; 2.380          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_66l1:auto_generated|altsyncram_pal1:altsyncram1|ram_block2a0~porta_address_reg3  ;
+--------+--------------+----------------+------------------+---------------------------------+------------+--------------------------------------------------------------------------------------------------------------------------------------------+


+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Fast Model Minimum Pulse Width: 'SW[8]'                                                                                                                                                                                   ;
+--------+--------------+----------------+------------------+-------+------------+------------------------------------------------------------------------------------------------------------------------------------------+
; Slack  ; Actual Width ; Required Width ; Type             ; Clock ; Clock Edge ; Target                                                                                                                                   ;
+--------+--------------+----------------+------------------+-------+------------+------------------------------------------------------------------------------------------------------------------------------------------+
; -1.880 ; 0.500        ; 2.380          ; High Pulse Width ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a0~portb_address_reg0  ;
; -1.880 ; 0.500        ; 2.380          ; Low Pulse Width  ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a0~portb_address_reg0  ;
; -1.880 ; 0.500        ; 2.380          ; High Pulse Width ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a0~portb_address_reg1  ;
; -1.880 ; 0.500        ; 2.380          ; Low Pulse Width  ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a0~portb_address_reg1  ;
; -1.880 ; 0.500        ; 2.380          ; High Pulse Width ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a0~portb_address_reg10 ;
; -1.880 ; 0.500        ; 2.380          ; Low Pulse Width  ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a0~portb_address_reg10 ;
; -1.880 ; 0.500        ; 2.380          ; High Pulse Width ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a0~portb_address_reg2  ;
; -1.880 ; 0.500        ; 2.380          ; Low Pulse Width  ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a0~portb_address_reg2  ;
; -1.880 ; 0.500        ; 2.380          ; High Pulse Width ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a0~portb_address_reg3  ;
; -1.880 ; 0.500        ; 2.380          ; Low Pulse Width  ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a0~portb_address_reg3  ;
; -1.880 ; 0.500        ; 2.380          ; High Pulse Width ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a0~portb_address_reg4  ;
; -1.880 ; 0.500        ; 2.380          ; Low Pulse Width  ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a0~portb_address_reg4  ;
; -1.880 ; 0.500        ; 2.380          ; High Pulse Width ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a0~portb_address_reg5  ;
; -1.880 ; 0.500        ; 2.380          ; Low Pulse Width  ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a0~portb_address_reg5  ;
; -1.880 ; 0.500        ; 2.380          ; High Pulse Width ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a0~portb_address_reg6  ;
; -1.880 ; 0.500        ; 2.380          ; Low Pulse Width  ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a0~portb_address_reg6  ;
; -1.880 ; 0.500        ; 2.380          ; High Pulse Width ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a0~portb_address_reg7  ;
; -1.880 ; 0.500        ; 2.380          ; Low Pulse Width  ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a0~portb_address_reg7  ;
; -1.880 ; 0.500        ; 2.380          ; High Pulse Width ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a0~portb_address_reg8  ;
; -1.880 ; 0.500        ; 2.380          ; Low Pulse Width  ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a0~portb_address_reg8  ;
; -1.880 ; 0.500        ; 2.380          ; High Pulse Width ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a0~portb_address_reg9  ;
; -1.880 ; 0.500        ; 2.380          ; Low Pulse Width  ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a0~portb_address_reg9  ;
; -1.880 ; 0.500        ; 2.380          ; High Pulse Width ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a0~portb_datain_reg0   ;
; -1.880 ; 0.500        ; 2.380          ; Low Pulse Width  ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a0~portb_datain_reg0   ;
; -1.880 ; 0.500        ; 2.380          ; High Pulse Width ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a0~portb_datain_reg1   ;
; -1.880 ; 0.500        ; 2.380          ; Low Pulse Width  ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a0~portb_datain_reg1   ;
; -1.880 ; 0.500        ; 2.380          ; High Pulse Width ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a0~portb_memory_reg0   ;
; -1.880 ; 0.500        ; 2.380          ; Low Pulse Width  ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a0~portb_memory_reg0   ;
; -1.880 ; 0.500        ; 2.380          ; High Pulse Width ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a0~portb_we_reg        ;
; -1.880 ; 0.500        ; 2.380          ; Low Pulse Width  ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a0~portb_we_reg        ;
; -1.880 ; 0.500        ; 2.380          ; High Pulse Width ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a1~portb_address_reg0  ;
; -1.880 ; 0.500        ; 2.380          ; Low Pulse Width  ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a1~portb_address_reg0  ;
; -1.880 ; 0.500        ; 2.380          ; High Pulse Width ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a1~portb_address_reg1  ;
; -1.880 ; 0.500        ; 2.380          ; Low Pulse Width  ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a1~portb_address_reg1  ;
; -1.880 ; 0.500        ; 2.380          ; High Pulse Width ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a1~portb_address_reg10 ;
; -1.880 ; 0.500        ; 2.380          ; Low Pulse Width  ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a1~portb_address_reg10 ;
; -1.880 ; 0.500        ; 2.380          ; High Pulse Width ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a1~portb_address_reg2  ;
; -1.880 ; 0.500        ; 2.380          ; Low Pulse Width  ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a1~portb_address_reg2  ;
; -1.880 ; 0.500        ; 2.380          ; High Pulse Width ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a1~portb_address_reg3  ;
; -1.880 ; 0.500        ; 2.380          ; Low Pulse Width  ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a1~portb_address_reg3  ;
; -1.880 ; 0.500        ; 2.380          ; High Pulse Width ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a1~portb_address_reg4  ;
; -1.880 ; 0.500        ; 2.380          ; Low Pulse Width  ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a1~portb_address_reg4  ;
; -1.880 ; 0.500        ; 2.380          ; High Pulse Width ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a1~portb_address_reg5  ;
; -1.880 ; 0.500        ; 2.380          ; Low Pulse Width  ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a1~portb_address_reg5  ;
; -1.880 ; 0.500        ; 2.380          ; High Pulse Width ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a1~portb_address_reg6  ;
; -1.880 ; 0.500        ; 2.380          ; Low Pulse Width  ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a1~portb_address_reg6  ;
; -1.880 ; 0.500        ; 2.380          ; High Pulse Width ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a1~portb_address_reg7  ;
; -1.880 ; 0.500        ; 2.380          ; Low Pulse Width  ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a1~portb_address_reg7  ;
; -1.880 ; 0.500        ; 2.380          ; High Pulse Width ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a1~portb_address_reg8  ;
; -1.880 ; 0.500        ; 2.380          ; Low Pulse Width  ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a1~portb_address_reg8  ;
; -1.880 ; 0.500        ; 2.380          ; High Pulse Width ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a1~portb_address_reg9  ;
; -1.880 ; 0.500        ; 2.380          ; Low Pulse Width  ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a1~portb_address_reg9  ;
; -1.880 ; 0.500        ; 2.380          ; High Pulse Width ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a1~portb_datain_reg0   ;
; -1.880 ; 0.500        ; 2.380          ; Low Pulse Width  ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a1~portb_datain_reg0   ;
; -1.880 ; 0.500        ; 2.380          ; High Pulse Width ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a1~portb_datain_reg1   ;
; -1.880 ; 0.500        ; 2.380          ; Low Pulse Width  ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a1~portb_datain_reg1   ;
; -1.880 ; 0.500        ; 2.380          ; High Pulse Width ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a1~portb_memory_reg0   ;
; -1.880 ; 0.500        ; 2.380          ; Low Pulse Width  ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a1~portb_memory_reg0   ;
; -1.880 ; 0.500        ; 2.380          ; High Pulse Width ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a1~portb_we_reg        ;
; -1.880 ; 0.500        ; 2.380          ; Low Pulse Width  ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a1~portb_we_reg        ;
; -1.880 ; 0.500        ; 2.380          ; High Pulse Width ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a2~portb_memory_reg0   ;
; -1.880 ; 0.500        ; 2.380          ; Low Pulse Width  ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a2~portb_memory_reg0   ;
; -1.880 ; 0.500        ; 2.380          ; High Pulse Width ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a3~portb_memory_reg0   ;
; -1.880 ; 0.500        ; 2.380          ; Low Pulse Width  ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a3~portb_memory_reg0   ;
; -1.880 ; 0.500        ; 2.380          ; High Pulse Width ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a4~portb_address_reg0  ;
; -1.880 ; 0.500        ; 2.380          ; Low Pulse Width  ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a4~portb_address_reg0  ;
; -1.880 ; 0.500        ; 2.380          ; High Pulse Width ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a4~portb_address_reg1  ;
; -1.880 ; 0.500        ; 2.380          ; Low Pulse Width  ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a4~portb_address_reg1  ;
; -1.880 ; 0.500        ; 2.380          ; High Pulse Width ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a4~portb_address_reg10 ;
; -1.880 ; 0.500        ; 2.380          ; Low Pulse Width  ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a4~portb_address_reg10 ;
; -1.880 ; 0.500        ; 2.380          ; High Pulse Width ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a4~portb_address_reg2  ;
; -1.880 ; 0.500        ; 2.380          ; Low Pulse Width  ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a4~portb_address_reg2  ;
; -1.880 ; 0.500        ; 2.380          ; High Pulse Width ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a4~portb_address_reg3  ;
; -1.880 ; 0.500        ; 2.380          ; Low Pulse Width  ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a4~portb_address_reg3  ;
; -1.880 ; 0.500        ; 2.380          ; High Pulse Width ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a4~portb_address_reg4  ;
; -1.880 ; 0.500        ; 2.380          ; Low Pulse Width  ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a4~portb_address_reg4  ;
; -1.880 ; 0.500        ; 2.380          ; High Pulse Width ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a4~portb_address_reg5  ;
; -1.880 ; 0.500        ; 2.380          ; Low Pulse Width  ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a4~portb_address_reg5  ;
; -1.880 ; 0.500        ; 2.380          ; High Pulse Width ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a4~portb_address_reg6  ;
; -1.880 ; 0.500        ; 2.380          ; Low Pulse Width  ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a4~portb_address_reg6  ;
; -1.880 ; 0.500        ; 2.380          ; High Pulse Width ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a4~portb_address_reg7  ;
; -1.880 ; 0.500        ; 2.380          ; Low Pulse Width  ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a4~portb_address_reg7  ;
; -1.880 ; 0.500        ; 2.380          ; High Pulse Width ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a4~portb_address_reg8  ;
; -1.880 ; 0.500        ; 2.380          ; Low Pulse Width  ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a4~portb_address_reg8  ;
; -1.880 ; 0.500        ; 2.380          ; High Pulse Width ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a4~portb_address_reg9  ;
; -1.880 ; 0.500        ; 2.380          ; Low Pulse Width  ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a4~portb_address_reg9  ;
; -1.880 ; 0.500        ; 2.380          ; High Pulse Width ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a4~portb_datain_reg0   ;
; -1.880 ; 0.500        ; 2.380          ; Low Pulse Width  ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a4~portb_datain_reg0   ;
; -1.880 ; 0.500        ; 2.380          ; High Pulse Width ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a4~portb_datain_reg1   ;
; -1.880 ; 0.500        ; 2.380          ; Low Pulse Width  ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a4~portb_datain_reg1   ;
; -1.880 ; 0.500        ; 2.380          ; High Pulse Width ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a4~portb_memory_reg0   ;
; -1.880 ; 0.500        ; 2.380          ; Low Pulse Width  ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a4~portb_memory_reg0   ;
; -1.880 ; 0.500        ; 2.380          ; High Pulse Width ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a4~portb_we_reg        ;
; -1.880 ; 0.500        ; 2.380          ; Low Pulse Width  ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a4~portb_we_reg        ;
; -1.880 ; 0.500        ; 2.380          ; High Pulse Width ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a5~portb_address_reg0  ;
; -1.880 ; 0.500        ; 2.380          ; Low Pulse Width  ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a5~portb_address_reg0  ;
; -1.880 ; 0.500        ; 2.380          ; High Pulse Width ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a5~portb_address_reg1  ;
; -1.880 ; 0.500        ; 2.380          ; Low Pulse Width  ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a5~portb_address_reg1  ;
; -1.880 ; 0.500        ; 2.380          ; High Pulse Width ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a5~portb_address_reg10 ;
; -1.880 ; 0.500        ; 2.380          ; Low Pulse Width  ; SW[8] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_h1o1:auto_generated|altsyncram_36o1:altsyncram1|ram_block2a5~portb_address_reg10 ;
+--------+--------------+----------------+------------------+-------+------------+------------------------------------------------------------------------------------------------------------------------------------------+


+-------------------------------------------------------------------------------------------------------------------------------------------------+
; Fast Model Minimum Pulse Width: 'CLOCK_50'                                                                                                      ;
+--------+--------------+----------------+------------------+----------+------------+-------------------------------------------------------------+
; Slack  ; Actual Width ; Required Width ; Type             ; Clock    ; Clock Edge ; Target                                                      ;
+--------+--------------+----------------+------------------+----------+------------+-------------------------------------------------------------+
; -1.380 ; 1.000        ; 2.380          ; Port Rate        ; CLOCK_50 ; Rise       ; CLOCK_50                                                    ;
; -0.500 ; 0.500        ; 1.000          ; High Pulse Width ; CLOCK_50 ; Rise       ; \random:rand_temp[0]                                        ;
; -0.500 ; 0.500        ; 1.000          ; Low Pulse Width  ; CLOCK_50 ; Rise       ; \random:rand_temp[0]                                        ;
; -0.500 ; 0.500        ; 1.000          ; High Pulse Width ; CLOCK_50 ; Rise       ; \random:rand_temp[10]                                       ;
; -0.500 ; 0.500        ; 1.000          ; Low Pulse Width  ; CLOCK_50 ; Rise       ; \random:rand_temp[10]                                       ;
; -0.500 ; 0.500        ; 1.000          ; High Pulse Width ; CLOCK_50 ; Rise       ; \random:rand_temp[11]                                       ;
; -0.500 ; 0.500        ; 1.000          ; Low Pulse Width  ; CLOCK_50 ; Rise       ; \random:rand_temp[11]                                       ;
; -0.500 ; 0.500        ; 1.000          ; High Pulse Width ; CLOCK_50 ; Rise       ; \random:rand_temp[12]                                       ;
; -0.500 ; 0.500        ; 1.000          ; Low Pulse Width  ; CLOCK_50 ; Rise       ; \random:rand_temp[12]                                       ;
; -0.500 ; 0.500        ; 1.000          ; High Pulse Width ; CLOCK_50 ; Rise       ; \random:rand_temp[13]                                       ;
; -0.500 ; 0.500        ; 1.000          ; Low Pulse Width  ; CLOCK_50 ; Rise       ; \random:rand_temp[13]                                       ;
; -0.500 ; 0.500        ; 1.000          ; High Pulse Width ; CLOCK_50 ; Rise       ; \random:rand_temp[14]                                       ;
; -0.500 ; 0.500        ; 1.000          ; Low Pulse Width  ; CLOCK_50 ; Rise       ; \random:rand_temp[14]                                       ;
; -0.500 ; 0.500        ; 1.000          ; High Pulse Width ; CLOCK_50 ; Rise       ; \random:rand_temp[15]                                       ;
; -0.500 ; 0.500        ; 1.000          ; Low Pulse Width  ; CLOCK_50 ; Rise       ; \random:rand_temp[15]                                       ;
; -0.500 ; 0.500        ; 1.000          ; High Pulse Width ; CLOCK_50 ; Rise       ; \random:rand_temp[1]                                        ;
; -0.500 ; 0.500        ; 1.000          ; Low Pulse Width  ; CLOCK_50 ; Rise       ; \random:rand_temp[1]                                        ;
; -0.500 ; 0.500        ; 1.000          ; High Pulse Width ; CLOCK_50 ; Rise       ; \random:rand_temp[2]                                        ;
; -0.500 ; 0.500        ; 1.000          ; Low Pulse Width  ; CLOCK_50 ; Rise       ; \random:rand_temp[2]                                        ;
; -0.500 ; 0.500        ; 1.000          ; High Pulse Width ; CLOCK_50 ; Rise       ; \random:rand_temp[3]                                        ;
; -0.500 ; 0.500        ; 1.000          ; Low Pulse Width  ; CLOCK_50 ; Rise       ; \random:rand_temp[3]                                        ;
; -0.500 ; 0.500        ; 1.000          ; High Pulse Width ; CLOCK_50 ; Rise       ; \random:rand_temp[4]                                        ;
; -0.500 ; 0.500        ; 1.000          ; Low Pulse Width  ; CLOCK_50 ; Rise       ; \random:rand_temp[4]                                        ;
; -0.500 ; 0.500        ; 1.000          ; High Pulse Width ; CLOCK_50 ; Rise       ; \random:rand_temp[5]                                        ;
; -0.500 ; 0.500        ; 1.000          ; Low Pulse Width  ; CLOCK_50 ; Rise       ; \random:rand_temp[5]                                        ;
; -0.500 ; 0.500        ; 1.000          ; High Pulse Width ; CLOCK_50 ; Rise       ; \random:rand_temp[6]                                        ;
; -0.500 ; 0.500        ; 1.000          ; Low Pulse Width  ; CLOCK_50 ; Rise       ; \random:rand_temp[6]                                        ;
; -0.500 ; 0.500        ; 1.000          ; High Pulse Width ; CLOCK_50 ; Rise       ; \random:rand_temp[7]                                        ;
; -0.500 ; 0.500        ; 1.000          ; Low Pulse Width  ; CLOCK_50 ; Rise       ; \random:rand_temp[7]                                        ;
; -0.500 ; 0.500        ; 1.000          ; High Pulse Width ; CLOCK_50 ; Rise       ; \random:rand_temp[8]                                        ;
; -0.500 ; 0.500        ; 1.000          ; Low Pulse Width  ; CLOCK_50 ; Rise       ; \random:rand_temp[8]                                        ;
; -0.500 ; 0.500        ; 1.000          ; High Pulse Width ; CLOCK_50 ; Rise       ; \random:rand_temp[9]                                        ;
; -0.500 ; 0.500        ; 1.000          ; Low Pulse Width  ; CLOCK_50 ; Rise       ; \random:rand_temp[9]                                        ;
; -0.500 ; 0.500        ; 1.000          ; High Pulse Width ; CLOCK_50 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz                             ;
; -0.500 ; 0.500        ; 1.000          ; Low Pulse Width  ; CLOCK_50 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz                             ;
; -0.500 ; 0.500        ; 1.000          ; High Pulse Width ; CLOCK_50 ; Rise       ; clk_div:clkdiv_inst|clock_10MHz                             ;
; -0.500 ; 0.500        ; 1.000          ; Low Pulse Width  ; CLOCK_50 ; Rise       ; clk_div:clkdiv_inst|clock_10MHz                             ;
; -0.500 ; 0.500        ; 1.000          ; High Pulse Width ; CLOCK_50 ; Rise       ; clk_div:clkdiv_inst|clock_10Mhz_int                         ;
; -0.500 ; 0.500        ; 1.000          ; Low Pulse Width  ; CLOCK_50 ; Rise       ; clk_div:clkdiv_inst|clock_10Mhz_int                         ;
; -0.500 ; 0.500        ; 1.000          ; High Pulse Width ; CLOCK_50 ; Rise       ; clk_div:clkdiv_inst|clock_25MHz                             ;
; -0.500 ; 0.500        ; 1.000          ; Low Pulse Width  ; CLOCK_50 ; Rise       ; clk_div:clkdiv_inst|clock_25MHz                             ;
; -0.500 ; 0.500        ; 1.000          ; High Pulse Width ; CLOCK_50 ; Rise       ; clk_div:clkdiv_inst|clock_25Mhz_int                         ;
; -0.500 ; 0.500        ; 1.000          ; Low Pulse Width  ; CLOCK_50 ; Rise       ; clk_div:clkdiv_inst|clock_25Mhz_int                         ;
; -0.500 ; 0.500        ; 1.000          ; High Pulse Width ; CLOCK_50 ; Rise       ; clk_div:clkdiv_inst|clock_357Mhz                            ;
; -0.500 ; 0.500        ; 1.000          ; Low Pulse Width  ; CLOCK_50 ; Rise       ; clk_div:clkdiv_inst|clock_357Mhz                            ;
; -0.500 ; 0.500        ; 1.000          ; High Pulse Width ; CLOCK_50 ; Rise       ; clk_div:clkdiv_inst|clock_357Mhz_int                        ;
; -0.500 ; 0.500        ; 1.000          ; Low Pulse Width  ; CLOCK_50 ; Rise       ; clk_div:clkdiv_inst|clock_357Mhz_int                        ;
; -0.500 ; 0.500        ; 1.000          ; High Pulse Width ; CLOCK_50 ; Rise       ; clk_div:clkdiv_inst|count_10Mhz[0]                          ;
; -0.500 ; 0.500        ; 1.000          ; Low Pulse Width  ; CLOCK_50 ; Rise       ; clk_div:clkdiv_inst|count_10Mhz[0]                          ;
; -0.500 ; 0.500        ; 1.000          ; High Pulse Width ; CLOCK_50 ; Rise       ; clk_div:clkdiv_inst|count_10Mhz[1]                          ;
; -0.500 ; 0.500        ; 1.000          ; Low Pulse Width  ; CLOCK_50 ; Rise       ; clk_div:clkdiv_inst|count_10Mhz[1]                          ;
; -0.500 ; 0.500        ; 1.000          ; High Pulse Width ; CLOCK_50 ; Rise       ; clk_div:clkdiv_inst|count_10Mhz[2]                          ;
; -0.500 ; 0.500        ; 1.000          ; Low Pulse Width  ; CLOCK_50 ; Rise       ; clk_div:clkdiv_inst|count_10Mhz[2]                          ;
; -0.500 ; 0.500        ; 1.000          ; High Pulse Width ; CLOCK_50 ; Rise       ; clk_div:clkdiv_inst|count_357Mhz[0]                         ;
; -0.500 ; 0.500        ; 1.000          ; Low Pulse Width  ; CLOCK_50 ; Rise       ; clk_div:clkdiv_inst|count_357Mhz[0]                         ;
; -0.500 ; 0.500        ; 1.000          ; High Pulse Width ; CLOCK_50 ; Rise       ; clk_div:clkdiv_inst|count_357Mhz[1]                         ;
; -0.500 ; 0.500        ; 1.000          ; Low Pulse Width  ; CLOCK_50 ; Rise       ; clk_div:clkdiv_inst|count_357Mhz[1]                         ;
; -0.500 ; 0.500        ; 1.000          ; High Pulse Width ; CLOCK_50 ; Rise       ; clk_div:clkdiv_inst|count_357Mhz[2]                         ;
; -0.500 ; 0.500        ; 1.000          ; Low Pulse Width  ; CLOCK_50 ; Rise       ; clk_div:clkdiv_inst|count_357Mhz[2]                         ;
; -0.500 ; 0.500        ; 1.000          ; High Pulse Width ; CLOCK_50 ; Rise       ; clk_div:clkdiv_inst|count_357Mhz[3]                         ;
; -0.500 ; 0.500        ; 1.000          ; Low Pulse Width  ; CLOCK_50 ; Rise       ; clk_div:clkdiv_inst|count_357Mhz[3]                         ;
; -0.500 ; 0.500        ; 1.000          ; High Pulse Width ; CLOCK_50 ; Rise       ; ps2_ascii_reg1[0]                                           ;
; -0.500 ; 0.500        ; 1.000          ; Low Pulse Width  ; CLOCK_50 ; Rise       ; ps2_ascii_reg1[0]                                           ;
; -0.500 ; 0.500        ; 1.000          ; High Pulse Width ; CLOCK_50 ; Rise       ; ps2_ascii_reg1[1]                                           ;
; -0.500 ; 0.500        ; 1.000          ; Low Pulse Width  ; CLOCK_50 ; Rise       ; ps2_ascii_reg1[1]                                           ;
; -0.500 ; 0.500        ; 1.000          ; High Pulse Width ; CLOCK_50 ; Rise       ; ps2_ascii_reg1[2]                                           ;
; -0.500 ; 0.500        ; 1.000          ; Low Pulse Width  ; CLOCK_50 ; Rise       ; ps2_ascii_reg1[2]                                           ;
; -0.500 ; 0.500        ; 1.000          ; High Pulse Width ; CLOCK_50 ; Rise       ; ps2_ascii_reg1[3]                                           ;
; -0.500 ; 0.500        ; 1.000          ; Low Pulse Width  ; CLOCK_50 ; Rise       ; ps2_ascii_reg1[3]                                           ;
; -0.500 ; 0.500        ; 1.000          ; High Pulse Width ; CLOCK_50 ; Rise       ; ps2_ascii_reg1[4]                                           ;
; -0.500 ; 0.500        ; 1.000          ; Low Pulse Width  ; CLOCK_50 ; Rise       ; ps2_ascii_reg1[4]                                           ;
; -0.500 ; 0.500        ; 1.000          ; High Pulse Width ; CLOCK_50 ; Rise       ; ps2_ascii_reg1[5]                                           ;
; -0.500 ; 0.500        ; 1.000          ; Low Pulse Width  ; CLOCK_50 ; Rise       ; ps2_ascii_reg1[5]                                           ;
; -0.500 ; 0.500        ; 1.000          ; High Pulse Width ; CLOCK_50 ; Rise       ; ps2_ascii_reg1[6]                                           ;
; -0.500 ; 0.500        ; 1.000          ; Low Pulse Width  ; CLOCK_50 ; Rise       ; ps2_ascii_reg1[6]                                           ;
; -0.500 ; 0.500        ; 1.000          ; High Pulse Width ; CLOCK_50 ; Rise       ; ps2_ascii_reg1[7]                                           ;
; -0.500 ; 0.500        ; 1.000          ; Low Pulse Width  ; CLOCK_50 ; Rise       ; ps2_ascii_reg1[7]                                           ;
; -0.500 ; 0.500        ; 1.000          ; High Pulse Width ; CLOCK_50 ; Rise       ; ps2_read                                                    ;
; -0.500 ; 0.500        ; 1.000          ; Low Pulse Width  ; CLOCK_50 ; Rise       ; ps2_read                                                    ;
; -0.500 ; 0.500        ; 1.000          ; High Pulse Width ; CLOCK_50 ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|filter[0]             ;
; -0.500 ; 0.500        ; 1.000          ; Low Pulse Width  ; CLOCK_50 ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|filter[0]             ;
; -0.500 ; 0.500        ; 1.000          ; High Pulse Width ; CLOCK_50 ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|filter[1]             ;
; -0.500 ; 0.500        ; 1.000          ; Low Pulse Width  ; CLOCK_50 ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|filter[1]             ;
; -0.500 ; 0.500        ; 1.000          ; High Pulse Width ; CLOCK_50 ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|filter[2]             ;
; -0.500 ; 0.500        ; 1.000          ; Low Pulse Width  ; CLOCK_50 ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|filter[2]             ;
; -0.500 ; 0.500        ; 1.000          ; High Pulse Width ; CLOCK_50 ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|filter[3]             ;
; -0.500 ; 0.500        ; 1.000          ; Low Pulse Width  ; CLOCK_50 ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|filter[3]             ;
; -0.500 ; 0.500        ; 1.000          ; High Pulse Width ; CLOCK_50 ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|filter[4]             ;
; -0.500 ; 0.500        ; 1.000          ; Low Pulse Width  ; CLOCK_50 ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|filter[4]             ;
; -0.500 ; 0.500        ; 1.000          ; High Pulse Width ; CLOCK_50 ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|filter[5]             ;
; -0.500 ; 0.500        ; 1.000          ; Low Pulse Width  ; CLOCK_50 ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|filter[5]             ;
; -0.500 ; 0.500        ; 1.000          ; High Pulse Width ; CLOCK_50 ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|filter[6]             ;
; -0.500 ; 0.500        ; 1.000          ; Low Pulse Width  ; CLOCK_50 ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|filter[6]             ;
; -0.500 ; 0.500        ; 1.000          ; High Pulse Width ; CLOCK_50 ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|filter[7]             ;
; -0.500 ; 0.500        ; 1.000          ; Low Pulse Width  ; CLOCK_50 ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|filter[7]             ;
; -0.500 ; 0.500        ; 1.000          ; High Pulse Width ; CLOCK_50 ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ;
; -0.500 ; 0.500        ; 1.000          ; Low Pulse Width  ; CLOCK_50 ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ;
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; CLOCK_50 ; Rise       ; CLOCK_50|combout                                            ;
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; CLOCK_50 ; Rise       ; CLOCK_50|combout                                            ;
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; CLOCK_50 ; Rise       ; CLOCK_50~clkctrl|inclk[0]                                   ;
+--------+--------------+----------------+------------------+----------+------------+-------------------------------------------------------------+


+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Fast Model Minimum Pulse Width: 'ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered'                                                                                                       ;
+--------+--------------+----------------+------------------+-------------------------------------------------------------+------------+--------------------------------------------------------------+
; Slack  ; Actual Width ; Required Width ; Type             ; Clock                                                       ; Clock Edge ; Target                                                       ;
+--------+--------------+----------------+------------------+-------------------------------------------------------------+------------+--------------------------------------------------------------+
; -0.500 ; 0.500        ; 1.000          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]               ;
; -0.500 ; 0.500        ; 1.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]               ;
; -0.500 ; 0.500        ; 1.000          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]               ;
; -0.500 ; 0.500        ; 1.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]               ;
; -0.500 ; 0.500        ; 1.000          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]               ;
; -0.500 ; 0.500        ; 1.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]               ;
; -0.500 ; 0.500        ; 1.000          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]               ;
; -0.500 ; 0.500        ; 1.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]               ;
; -0.500 ; 0.500        ; 1.000          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR              ;
; -0.500 ; 0.500        ; 1.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR              ;
; -0.500 ; 0.500        ; 1.000          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[0]             ;
; -0.500 ; 0.500        ; 1.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[0]             ;
; -0.500 ; 0.500        ; 1.000          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[1]             ;
; -0.500 ; 0.500        ; 1.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[1]             ;
; -0.500 ; 0.500        ; 1.000          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[2]             ;
; -0.500 ; 0.500        ; 1.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[2]             ;
; -0.500 ; 0.500        ; 1.000          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[3]             ;
; -0.500 ; 0.500        ; 1.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[3]             ;
; -0.500 ; 0.500        ; 1.000          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[4]             ;
; -0.500 ; 0.500        ; 1.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[4]             ;
; -0.500 ; 0.500        ; 1.000          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[5]             ;
; -0.500 ; 0.500        ; 1.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[5]             ;
; -0.500 ; 0.500        ; 1.000          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[6]             ;
; -0.500 ; 0.500        ; 1.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[6]             ;
; -0.500 ; 0.500        ; 1.000          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[7]             ;
; -0.500 ; 0.500        ; 1.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[7]             ;
; -0.500 ; 0.500        ; 1.000          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[8]             ;
; -0.500 ; 0.500        ; 1.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[8]             ;
; -0.500 ; 0.500        ; 1.000          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set              ;
; -0.500 ; 0.500        ; 1.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set              ;
; -0.500 ; 0.500        ; 1.000          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[0]           ;
; -0.500 ; 0.500        ; 1.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[0]           ;
; -0.500 ; 0.500        ; 1.000          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[1]           ;
; -0.500 ; 0.500        ; 1.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[1]           ;
; -0.500 ; 0.500        ; 1.000          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[2]           ;
; -0.500 ; 0.500        ; 1.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[2]           ;
; -0.500 ; 0.500        ; 1.000          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[3]           ;
; -0.500 ; 0.500        ; 1.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[3]           ;
; -0.500 ; 0.500        ; 1.000          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[4]           ;
; -0.500 ; 0.500        ; 1.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[4]           ;
; -0.500 ; 0.500        ; 1.000          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[5]           ;
; -0.500 ; 0.500        ; 1.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[5]           ;
; -0.500 ; 0.500        ; 1.000          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[6]           ;
; -0.500 ; 0.500        ; 1.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[6]           ;
; -0.500 ; 0.500        ; 1.000          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[7]           ;
; -0.500 ; 0.500        ; 1.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[7]           ;
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|INCNT[0]|clk                           ;
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|INCNT[0]|clk                           ;
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|INCNT[1]|clk                           ;
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|INCNT[1]|clk                           ;
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|INCNT[2]|clk                           ;
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|INCNT[2]|clk                           ;
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|INCNT[3]|clk                           ;
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|INCNT[3]|clk                           ;
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|READ_CHAR|clk                          ;
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|READ_CHAR|clk                          ;
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|SHIFTIN[0]|clk                         ;
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|SHIFTIN[0]|clk                         ;
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|SHIFTIN[1]|clk                         ;
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|SHIFTIN[1]|clk                         ;
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|SHIFTIN[2]|clk                         ;
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|SHIFTIN[2]|clk                         ;
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|SHIFTIN[3]|clk                         ;
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|SHIFTIN[3]|clk                         ;
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|SHIFTIN[4]|clk                         ;
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|SHIFTIN[4]|clk                         ;
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|SHIFTIN[5]|clk                         ;
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|SHIFTIN[5]|clk                         ;
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|SHIFTIN[6]|clk                         ;
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|SHIFTIN[6]|clk                         ;
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|SHIFTIN[7]|clk                         ;
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|SHIFTIN[7]|clk                         ;
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|SHIFTIN[8]|clk                         ;
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|SHIFTIN[8]|clk                         ;
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|keyboard_clk_filtered|regout           ;
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|keyboard_clk_filtered|regout           ;
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|keyboard_clk_filtered~clkctrl|inclk[0] ;
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|keyboard_clk_filtered~clkctrl|inclk[0] ;
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|keyboard_clk_filtered~clkctrl|outclk   ;
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|keyboard_clk_filtered~clkctrl|outclk   ;
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|ready_set|clk                          ;
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|ready_set|clk                          ;
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|scan_code[0]|clk                       ;
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|scan_code[0]|clk                       ;
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|scan_code[1]|clk                       ;
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|scan_code[1]|clk                       ;
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|scan_code[2]|clk                       ;
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|scan_code[2]|clk                       ;
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|scan_code[3]|clk                       ;
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|scan_code[3]|clk                       ;
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|scan_code[4]|clk                       ;
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|scan_code[4]|clk                       ;
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|scan_code[5]|clk                       ;
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|scan_code[5]|clk                       ;
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|scan_code[6]|clk                       ;
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|scan_code[6]|clk                       ;
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|scan_code[7]|clk                       ;
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|scan_code[7]|clk                       ;
+--------+--------------+----------------+------------------+-------------------------------------------------------------+------------+--------------------------------------------------------------+


+-------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Fast Model Minimum Pulse Width: 'clk_div:clkdiv_inst|clock_25Mhz_int'                                                                                       ;
+--------+--------------+----------------+------------------+-------------------------------------+------------+----------------------------------------------+
; Slack  ; Actual Width ; Required Width ; Type             ; Clock                               ; Clock Edge ; Target                                       ;
+--------+--------------+----------------+------------------+-------------------------------------+------------+----------------------------------------------+
; -0.500 ; 0.500        ; 1.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clk_div:clkdiv_inst|clock_1Mhz_int           ;
; -0.500 ; 0.500        ; 1.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clk_div:clkdiv_inst|clock_1Mhz_int           ;
; -0.500 ; 0.500        ; 1.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clk_div:clkdiv_inst|count_1Mhz[0]            ;
; -0.500 ; 0.500        ; 1.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clk_div:clkdiv_inst|count_1Mhz[0]            ;
; -0.500 ; 0.500        ; 1.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clk_div:clkdiv_inst|count_1Mhz[1]            ;
; -0.500 ; 0.500        ; 1.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clk_div:clkdiv_inst|count_1Mhz[1]            ;
; -0.500 ; 0.500        ; 1.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clk_div:clkdiv_inst|count_1Mhz[2]            ;
; -0.500 ; 0.500        ; 1.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clk_div:clkdiv_inst|count_1Mhz[2]            ;
; -0.500 ; 0.500        ; 1.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clk_div:clkdiv_inst|count_1Mhz[3]            ;
; -0.500 ; 0.500        ; 1.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clk_div:clkdiv_inst|count_1Mhz[3]            ;
; -0.500 ; 0.500        ; 1.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clk_div:clkdiv_inst|count_1Mhz[4]            ;
; -0.500 ; 0.500        ; 1.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clk_div:clkdiv_inst|count_1Mhz[4]            ;
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clkdiv_inst|clock_1Mhz_int|clk               ;
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clkdiv_inst|clock_1Mhz_int|clk               ;
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clkdiv_inst|clock_25Mhz_int|regout           ;
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clkdiv_inst|clock_25Mhz_int|regout           ;
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clkdiv_inst|clock_25Mhz_int~clkctrl|inclk[0] ;
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clkdiv_inst|clock_25Mhz_int~clkctrl|inclk[0] ;
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clkdiv_inst|clock_25Mhz_int~clkctrl|outclk   ;
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clkdiv_inst|clock_25Mhz_int~clkctrl|outclk   ;
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clkdiv_inst|count_1Mhz[0]|clk                ;
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clkdiv_inst|count_1Mhz[0]|clk                ;
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clkdiv_inst|count_1Mhz[1]|clk                ;
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clkdiv_inst|count_1Mhz[1]|clk                ;
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clkdiv_inst|count_1Mhz[2]|clk                ;
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clkdiv_inst|count_1Mhz[2]|clk                ;
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clkdiv_inst|count_1Mhz[3]|clk                ;
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clkdiv_inst|count_1Mhz[3]|clk                ;
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clkdiv_inst|count_1Mhz[4]|clk                ;
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clkdiv_inst|count_1Mhz[4]|clk                ;
+--------+--------------+----------------+------------------+-------------------------------------+------------+----------------------------------------------+


+---------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Fast Model Minimum Pulse Width: 'clk_div:clkdiv_inst|clock_100Khz_int'                                                                                        ;
+--------+--------------+----------------+------------------+--------------------------------------+------------+-----------------------------------------------+
; Slack  ; Actual Width ; Required Width ; Type             ; Clock                                ; Clock Edge ; Target                                        ;
+--------+--------------+----------------+------------------+--------------------------------------+------------+-----------------------------------------------+
; -0.500 ; 0.500        ; 1.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_100Khz_int ; Rise       ; clk_div:clkdiv_inst|clock_10Khz_int           ;
; -0.500 ; 0.500        ; 1.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_100Khz_int ; Rise       ; clk_div:clkdiv_inst|clock_10Khz_int           ;
; -0.500 ; 0.500        ; 1.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_100Khz_int ; Rise       ; clk_div:clkdiv_inst|count_10Khz[0]            ;
; -0.500 ; 0.500        ; 1.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_100Khz_int ; Rise       ; clk_div:clkdiv_inst|count_10Khz[0]            ;
; -0.500 ; 0.500        ; 1.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_100Khz_int ; Rise       ; clk_div:clkdiv_inst|count_10Khz[1]            ;
; -0.500 ; 0.500        ; 1.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_100Khz_int ; Rise       ; clk_div:clkdiv_inst|count_10Khz[1]            ;
; -0.500 ; 0.500        ; 1.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_100Khz_int ; Rise       ; clk_div:clkdiv_inst|count_10Khz[2]            ;
; -0.500 ; 0.500        ; 1.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_100Khz_int ; Rise       ; clk_div:clkdiv_inst|count_10Khz[2]            ;
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_100Khz_int ; Rise       ; clkdiv_inst|clock_100Khz_int|regout           ;
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_100Khz_int ; Rise       ; clkdiv_inst|clock_100Khz_int|regout           ;
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_100Khz_int ; Rise       ; clkdiv_inst|clock_100Khz_int~clkctrl|inclk[0] ;
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_100Khz_int ; Rise       ; clkdiv_inst|clock_100Khz_int~clkctrl|inclk[0] ;
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_100Khz_int ; Rise       ; clkdiv_inst|clock_100Khz_int~clkctrl|outclk   ;
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_100Khz_int ; Rise       ; clkdiv_inst|clock_100Khz_int~clkctrl|outclk   ;
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_100Khz_int ; Rise       ; clkdiv_inst|clock_10Khz_int|clk               ;
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_100Khz_int ; Rise       ; clkdiv_inst|clock_10Khz_int|clk               ;
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_100Khz_int ; Rise       ; clkdiv_inst|count_10Khz[0]|clk                ;
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_100Khz_int ; Rise       ; clkdiv_inst|count_10Khz[0]|clk                ;
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_100Khz_int ; Rise       ; clkdiv_inst|count_10Khz[1]|clk                ;
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_100Khz_int ; Rise       ; clkdiv_inst|count_10Khz[1]|clk                ;
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_100Khz_int ; Rise       ; clkdiv_inst|count_10Khz[2]|clk                ;
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_100Khz_int ; Rise       ; clkdiv_inst|count_10Khz[2]|clk                ;
+--------+--------------+----------------+------------------+--------------------------------------+------------+-----------------------------------------------+


+-------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Fast Model Minimum Pulse Width: 'clk_div:clkdiv_inst|clock_10Khz_int'                                                                                       ;
+--------+--------------+----------------+------------------+-------------------------------------+------------+----------------------------------------------+
; Slack  ; Actual Width ; Required Width ; Type             ; Clock                               ; Clock Edge ; Target                                       ;
+--------+--------------+----------------+------------------+-------------------------------------+------------+----------------------------------------------+
; -0.500 ; 0.500        ; 1.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_10Khz_int ; Rise       ; clk_div:clkdiv_inst|clock_1Khz_int           ;
; -0.500 ; 0.500        ; 1.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_10Khz_int ; Rise       ; clk_div:clkdiv_inst|clock_1Khz_int           ;
; -0.500 ; 0.500        ; 1.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_10Khz_int ; Rise       ; clk_div:clkdiv_inst|count_1Khz[0]            ;
; -0.500 ; 0.500        ; 1.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_10Khz_int ; Rise       ; clk_div:clkdiv_inst|count_1Khz[0]            ;
; -0.500 ; 0.500        ; 1.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_10Khz_int ; Rise       ; clk_div:clkdiv_inst|count_1Khz[1]            ;
; -0.500 ; 0.500        ; 1.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_10Khz_int ; Rise       ; clk_div:clkdiv_inst|count_1Khz[1]            ;
; -0.500 ; 0.500        ; 1.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_10Khz_int ; Rise       ; clk_div:clkdiv_inst|count_1Khz[2]            ;
; -0.500 ; 0.500        ; 1.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_10Khz_int ; Rise       ; clk_div:clkdiv_inst|count_1Khz[2]            ;
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_10Khz_int ; Rise       ; clkdiv_inst|clock_10Khz_int|regout           ;
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_10Khz_int ; Rise       ; clkdiv_inst|clock_10Khz_int|regout           ;
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_10Khz_int ; Rise       ; clkdiv_inst|clock_10Khz_int~clkctrl|inclk[0] ;
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_10Khz_int ; Rise       ; clkdiv_inst|clock_10Khz_int~clkctrl|inclk[0] ;
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_10Khz_int ; Rise       ; clkdiv_inst|clock_10Khz_int~clkctrl|outclk   ;
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_10Khz_int ; Rise       ; clkdiv_inst|clock_10Khz_int~clkctrl|outclk   ;
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_10Khz_int ; Rise       ; clkdiv_inst|clock_1Khz_int|clk               ;
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_10Khz_int ; Rise       ; clkdiv_inst|clock_1Khz_int|clk               ;
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_10Khz_int ; Rise       ; clkdiv_inst|count_1Khz[0]|clk                ;
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_10Khz_int ; Rise       ; clkdiv_inst|count_1Khz[0]|clk                ;
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_10Khz_int ; Rise       ; clkdiv_inst|count_1Khz[1]|clk                ;
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_10Khz_int ; Rise       ; clkdiv_inst|count_1Khz[1]|clk                ;
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_10Khz_int ; Rise       ; clkdiv_inst|count_1Khz[2]|clk                ;
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_10Khz_int ; Rise       ; clkdiv_inst|count_1Khz[2]|clk                ;
+--------+--------------+----------------+------------------+-------------------------------------+------------+----------------------------------------------+


+-----------------------------------------------------------------------------------------------------------------------------------------------------------+
; Fast Model Minimum Pulse Width: 'clk_div:clkdiv_inst|clock_1Khz_int'                                                                                      ;
+--------+--------------+----------------+------------------+------------------------------------+------------+---------------------------------------------+
; Slack  ; Actual Width ; Required Width ; Type             ; Clock                              ; Clock Edge ; Target                                      ;
+--------+--------------+----------------+------------------+------------------------------------+------------+---------------------------------------------+
; -0.500 ; 0.500        ; 1.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_1Khz_int ; Rise       ; clk_div:clkdiv_inst|clock_100hz_int         ;
; -0.500 ; 0.500        ; 1.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_1Khz_int ; Rise       ; clk_div:clkdiv_inst|clock_100hz_int         ;
; -0.500 ; 0.500        ; 1.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_1Khz_int ; Rise       ; clk_div:clkdiv_inst|count_100hz[0]          ;
; -0.500 ; 0.500        ; 1.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_1Khz_int ; Rise       ; clk_div:clkdiv_inst|count_100hz[0]          ;
; -0.500 ; 0.500        ; 1.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_1Khz_int ; Rise       ; clk_div:clkdiv_inst|count_100hz[1]          ;
; -0.500 ; 0.500        ; 1.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_1Khz_int ; Rise       ; clk_div:clkdiv_inst|count_100hz[1]          ;
; -0.500 ; 0.500        ; 1.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_1Khz_int ; Rise       ; clk_div:clkdiv_inst|count_100hz[2]          ;
; -0.500 ; 0.500        ; 1.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_1Khz_int ; Rise       ; clk_div:clkdiv_inst|count_100hz[2]          ;
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_1Khz_int ; Rise       ; clkdiv_inst|clock_100hz_int|clk             ;
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_1Khz_int ; Rise       ; clkdiv_inst|clock_100hz_int|clk             ;
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_1Khz_int ; Rise       ; clkdiv_inst|clock_1Khz_int|regout           ;
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_1Khz_int ; Rise       ; clkdiv_inst|clock_1Khz_int|regout           ;
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_1Khz_int ; Rise       ; clkdiv_inst|clock_1Khz_int~clkctrl|inclk[0] ;
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_1Khz_int ; Rise       ; clkdiv_inst|clock_1Khz_int~clkctrl|inclk[0] ;
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_1Khz_int ; Rise       ; clkdiv_inst|clock_1Khz_int~clkctrl|outclk   ;
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_1Khz_int ; Rise       ; clkdiv_inst|clock_1Khz_int~clkctrl|outclk   ;
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_1Khz_int ; Rise       ; clkdiv_inst|count_100hz[0]|clk              ;
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_1Khz_int ; Rise       ; clkdiv_inst|count_100hz[0]|clk              ;
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_1Khz_int ; Rise       ; clkdiv_inst|count_100hz[1]|clk              ;
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_1Khz_int ; Rise       ; clkdiv_inst|count_100hz[1]|clk              ;
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_1Khz_int ; Rise       ; clkdiv_inst|count_100hz[2]|clk              ;
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_1Khz_int ; Rise       ; clkdiv_inst|count_100hz[2]|clk              ;
+--------+--------------+----------------+------------------+------------------------------------+------------+---------------------------------------------+


+-----------------------------------------------------------------------------------------------------------------------------------------------------------+
; Fast Model Minimum Pulse Width: 'clk_div:clkdiv_inst|clock_1Mhz_int'                                                                                      ;
+--------+--------------+----------------+------------------+------------------------------------+------------+---------------------------------------------+
; Slack  ; Actual Width ; Required Width ; Type             ; Clock                              ; Clock Edge ; Target                                      ;
+--------+--------------+----------------+------------------+------------------------------------+------------+---------------------------------------------+
; -0.500 ; 0.500        ; 1.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_1Mhz_int ; Rise       ; clk_div:clkdiv_inst|clock_100Khz_int        ;
; -0.500 ; 0.500        ; 1.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_1Mhz_int ; Rise       ; clk_div:clkdiv_inst|clock_100Khz_int        ;
; -0.500 ; 0.500        ; 1.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_1Mhz_int ; Rise       ; clk_div:clkdiv_inst|count_100Khz[0]         ;
; -0.500 ; 0.500        ; 1.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_1Mhz_int ; Rise       ; clk_div:clkdiv_inst|count_100Khz[0]         ;
; -0.500 ; 0.500        ; 1.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_1Mhz_int ; Rise       ; clk_div:clkdiv_inst|count_100Khz[1]         ;
; -0.500 ; 0.500        ; 1.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_1Mhz_int ; Rise       ; clk_div:clkdiv_inst|count_100Khz[1]         ;
; -0.500 ; 0.500        ; 1.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_1Mhz_int ; Rise       ; clk_div:clkdiv_inst|count_100Khz[2]         ;
; -0.500 ; 0.500        ; 1.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_1Mhz_int ; Rise       ; clk_div:clkdiv_inst|count_100Khz[2]         ;
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_1Mhz_int ; Rise       ; clkdiv_inst|clock_100Khz_int|clk            ;
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_1Mhz_int ; Rise       ; clkdiv_inst|clock_100Khz_int|clk            ;
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_1Mhz_int ; Rise       ; clkdiv_inst|clock_1Mhz_int|regout           ;
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_1Mhz_int ; Rise       ; clkdiv_inst|clock_1Mhz_int|regout           ;
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_1Mhz_int ; Rise       ; clkdiv_inst|clock_1Mhz_int~clkctrl|inclk[0] ;
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_1Mhz_int ; Rise       ; clkdiv_inst|clock_1Mhz_int~clkctrl|inclk[0] ;
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_1Mhz_int ; Rise       ; clkdiv_inst|clock_1Mhz_int~clkctrl|outclk   ;
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_1Mhz_int ; Rise       ; clkdiv_inst|clock_1Mhz_int~clkctrl|outclk   ;
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_1Mhz_int ; Rise       ; clkdiv_inst|count_100Khz[0]|clk             ;
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_1Mhz_int ; Rise       ; clkdiv_inst|count_100Khz[0]|clk             ;
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_1Mhz_int ; Rise       ; clkdiv_inst|count_100Khz[1]|clk             ;
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_1Mhz_int ; Rise       ; clkdiv_inst|count_100Khz[1]|clk             ;
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_1Mhz_int ; Rise       ; clkdiv_inst|count_100Khz[2]|clk             ;
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_1Mhz_int ; Rise       ; clkdiv_inst|count_100Khz[2]|clk             ;
+--------+--------------+----------------+------------------+------------------------------------+------------+---------------------------------------------+


+-----------------------------------------------------------------------------------------------------------------------------------------------------+
; Fast Model Minimum Pulse Width: 'clk_div:clkdiv_inst|clock_100Hz'                                                                                   ;
+--------+--------------+----------------+------------------+---------------------------------+------------+------------------------------------------+
; Slack  ; Actual Width ; Required Width ; Type             ; Clock                           ; Clock Edge ; Target                                   ;
+--------+--------------+----------------+------------------+---------------------------------+------------+------------------------------------------+
; -0.500 ; 0.500        ; 1.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_100Hz ; Rise       ; ps2kbd:ps2_kbd_inst|caps[0]              ;
; -0.500 ; 0.500        ; 1.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_100Hz ; Rise       ; ps2kbd:ps2_kbd_inst|caps[0]              ;
; -0.500 ; 0.500        ; 1.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_100Hz ; Rise       ; ps2kbd:ps2_kbd_inst|caps[1]              ;
; -0.500 ; 0.500        ; 1.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_100Hz ; Rise       ; ps2kbd:ps2_kbd_inst|caps[1]              ;
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_100Hz ; Rise       ; clkdiv_inst|clock_100Hz|regout           ;
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_100Hz ; Rise       ; clkdiv_inst|clock_100Hz|regout           ;
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_100Hz ; Rise       ; clkdiv_inst|clock_100Hz~clkctrl|inclk[0] ;
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_100Hz ; Rise       ; clkdiv_inst|clock_100Hz~clkctrl|inclk[0] ;
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_100Hz ; Rise       ; clkdiv_inst|clock_100Hz~clkctrl|outclk   ;
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_100Hz ; Rise       ; clkdiv_inst|clock_100Hz~clkctrl|outclk   ;
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_100Hz ; Rise       ; ps2_kbd_inst|caps[0]|clk                 ;
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_100Hz ; Rise       ; ps2_kbd_inst|caps[0]|clk                 ;
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_100Hz ; Rise       ; ps2_kbd_inst|caps[1]|clk                 ;
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_100Hz ; Rise       ; ps2_kbd_inst|caps[1]|clk                 ;
+--------+--------------+----------------+------------------+---------------------------------+------------+------------------------------------------+


+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Fast Model Minimum Pulse Width: 'ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set'                                                                                           ;
+--------+--------------+----------------+------------------+-------------------------------------------------+------------+--------------------------------------------------+
; Slack  ; Actual Width ; Required Width ; Type             ; Clock                                           ; Clock Edge ; Target                                           ;
+--------+--------------+----------------+------------------+-------------------------------------------------+------------+--------------------------------------------------+
; -0.500 ; 0.500        ; 1.000          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_ready ;
; -0.500 ; 0.500        ; 1.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_ready ;
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set ; Rise       ; ps2_kbd_inst|kbd_inst|ready_set|regout           ;
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set ; Rise       ; ps2_kbd_inst|kbd_inst|ready_set|regout           ;
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set ; Rise       ; ps2_kbd_inst|kbd_inst|scan_ready|clk             ;
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set ; Rise       ; ps2_kbd_inst|kbd_inst|scan_ready|clk             ;
+--------+--------------+----------------+------------------+-------------------------------------------------+------------+--------------------------------------------------+


+------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Setup Times                                                                                                                                                            ;
+-------------+-------------------------------------------------------------+--------+--------+------------+-------------------------------------------------------------+
; Data Port   ; Clock Port                                                  ; Rise   ; Fall   ; Clock Edge ; Clock Reference                                             ;
+-------------+-------------------------------------------------------------+--------+--------+------------+-------------------------------------------------------------+
; PS2_CLK     ; CLOCK_50                                                    ; 1.926  ; 1.926  ; Rise       ; CLOCK_50                                                    ;
; KEY[*]      ; SW[8]                                                       ; 2.245  ; 2.245  ; Rise       ; SW[8]                                                       ;
;  KEY[0]     ; SW[8]                                                       ; 2.074  ; 2.074  ; Rise       ; SW[8]                                                       ;
;  KEY[1]     ; SW[8]                                                       ; 2.245  ; 2.245  ; Rise       ; SW[8]                                                       ;
;  KEY[2]     ; SW[8]                                                       ; 1.783  ; 1.783  ; Rise       ; SW[8]                                                       ;
;  KEY[3]     ; SW[8]                                                       ; 2.037  ; 2.037  ; Rise       ; SW[8]                                                       ;
; SRAM_DQ[*]  ; SW[8]                                                       ; 2.865  ; 2.865  ; Rise       ; SW[8]                                                       ;
;  SRAM_DQ[0] ; SW[8]                                                       ; 2.865  ; 2.865  ; Rise       ; SW[8]                                                       ;
;  SRAM_DQ[1] ; SW[8]                                                       ; 2.743  ; 2.743  ; Rise       ; SW[8]                                                       ;
;  SRAM_DQ[2] ; SW[8]                                                       ; 2.531  ; 2.531  ; Rise       ; SW[8]                                                       ;
;  SRAM_DQ[3] ; SW[8]                                                       ; 2.688  ; 2.688  ; Rise       ; SW[8]                                                       ;
;  SRAM_DQ[4] ; SW[8]                                                       ; 1.786  ; 1.786  ; Rise       ; SW[8]                                                       ;
;  SRAM_DQ[5] ; SW[8]                                                       ; 1.900  ; 1.900  ; Rise       ; SW[8]                                                       ;
;  SRAM_DQ[6] ; SW[8]                                                       ; 1.587  ; 1.587  ; Rise       ; SW[8]                                                       ;
;  SRAM_DQ[7] ; SW[8]                                                       ; 2.079  ; 2.079  ; Rise       ; SW[8]                                                       ;
; SW[*]       ; SW[8]                                                       ; -0.186 ; -0.186 ; Rise       ; SW[8]                                                       ;
;  SW[0]      ; SW[8]                                                       ; -0.427 ; -0.427 ; Rise       ; SW[8]                                                       ;
;  SW[1]      ; SW[8]                                                       ; -0.536 ; -0.536 ; Rise       ; SW[8]                                                       ;
;  SW[2]      ; SW[8]                                                       ; -0.715 ; -0.715 ; Rise       ; SW[8]                                                       ;
;  SW[3]      ; SW[8]                                                       ; -0.186 ; -0.186 ; Rise       ; SW[8]                                                       ;
;  SW[4]      ; SW[8]                                                       ; -0.846 ; -0.846 ; Rise       ; SW[8]                                                       ;
;  SW[5]      ; SW[8]                                                       ; -0.839 ; -0.839 ; Rise       ; SW[8]                                                       ;
;  SW[6]      ; SW[8]                                                       ; -0.873 ; -0.873 ; Rise       ; SW[8]                                                       ;
;  SW[7]      ; SW[8]                                                       ; -0.759 ; -0.759 ; Rise       ; SW[8]                                                       ;
; PS2_DAT     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 2.467  ; 2.467  ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ;
; SW[*]       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.719  ; 0.719  ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ;
;  SW[9]      ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.719  ; 0.719  ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ;
+-------------+-------------------------------------------------------------+--------+--------+------------+-------------------------------------------------------------+


+------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Hold Times                                                                                                                                                             ;
+-------------+-------------------------------------------------------------+--------+--------+------------+-------------------------------------------------------------+
; Data Port   ; Clock Port                                                  ; Rise   ; Fall   ; Clock Edge ; Clock Reference                                             ;
+-------------+-------------------------------------------------------------+--------+--------+------------+-------------------------------------------------------------+
; PS2_CLK     ; CLOCK_50                                                    ; -1.806 ; -1.806 ; Rise       ; CLOCK_50                                                    ;
; KEY[*]      ; SW[8]                                                       ; -1.602 ; -1.602 ; Rise       ; SW[8]                                                       ;
;  KEY[0]     ; SW[8]                                                       ; -1.844 ; -1.844 ; Rise       ; SW[8]                                                       ;
;  KEY[1]     ; SW[8]                                                       ; -2.018 ; -2.018 ; Rise       ; SW[8]                                                       ;
;  KEY[2]     ; SW[8]                                                       ; -1.602 ; -1.602 ; Rise       ; SW[8]                                                       ;
;  KEY[3]     ; SW[8]                                                       ; -1.821 ; -1.821 ; Rise       ; SW[8]                                                       ;
; SRAM_DQ[*]  ; SW[8]                                                       ; -1.182 ; -1.182 ; Rise       ; SW[8]                                                       ;
;  SRAM_DQ[0] ; SW[8]                                                       ; -2.635 ; -2.635 ; Rise       ; SW[8]                                                       ;
;  SRAM_DQ[1] ; SW[8]                                                       ; -2.516 ; -2.516 ; Rise       ; SW[8]                                                       ;
;  SRAM_DQ[2] ; SW[8]                                                       ; -2.350 ; -2.350 ; Rise       ; SW[8]                                                       ;
;  SRAM_DQ[3] ; SW[8]                                                       ; -2.472 ; -2.472 ; Rise       ; SW[8]                                                       ;
;  SRAM_DQ[4] ; SW[8]                                                       ; -1.424 ; -1.424 ; Rise       ; SW[8]                                                       ;
;  SRAM_DQ[5] ; SW[8]                                                       ; -1.439 ; -1.439 ; Rise       ; SW[8]                                                       ;
;  SRAM_DQ[6] ; SW[8]                                                       ; -1.182 ; -1.182 ; Rise       ; SW[8]                                                       ;
;  SRAM_DQ[7] ; SW[8]                                                       ; -1.778 ; -1.778 ; Rise       ; SW[8]                                                       ;
; SW[*]       ; SW[8]                                                       ; 1.300  ; 1.300  ; Rise       ; SW[8]                                                       ;
;  SW[0]      ; SW[8]                                                       ; 0.657  ; 0.657  ; Rise       ; SW[8]                                                       ;
;  SW[1]      ; SW[8]                                                       ; 0.763  ; 0.763  ; Rise       ; SW[8]                                                       ;
;  SW[2]      ; SW[8]                                                       ; 0.896  ; 0.896  ; Rise       ; SW[8]                                                       ;
;  SW[3]      ; SW[8]                                                       ; 0.402  ; 0.402  ; Rise       ; SW[8]                                                       ;
;  SW[4]      ; SW[8]                                                       ; 1.208  ; 1.208  ; Rise       ; SW[8]                                                       ;
;  SW[5]      ; SW[8]                                                       ; 1.300  ; 1.300  ; Rise       ; SW[8]                                                       ;
;  SW[6]      ; SW[8]                                                       ; 1.278  ; 1.278  ; Rise       ; SW[8]                                                       ;
;  SW[7]      ; SW[8]                                                       ; 1.060  ; 1.060  ; Rise       ; SW[8]                                                       ;
; PS2_DAT     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; -2.207 ; -2.207 ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ;
; SW[*]       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.170  ; 0.170  ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ;
;  SW[9]      ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.170  ; 0.170  ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ;
+-------------+-------------------------------------------------------------+--------+--------+------------+-------------------------------------------------------------+


+-----------------------------------------------------------------------------------------------------------------+
; Clock to Output Times                                                                                           ;
+----------------+---------------------------------+-------+-------+------------+---------------------------------+
; Data Port      ; Clock Port                      ; Rise  ; Fall  ; Clock Edge ; Clock Reference                 ;
+----------------+---------------------------------+-------+-------+------------+---------------------------------+
; HEX0[*]        ; SW[8]                           ; 5.391 ; 5.391 ; Rise       ; SW[8]                           ;
;  HEX0[0]       ; SW[8]                           ; 5.135 ; 5.135 ; Rise       ; SW[8]                           ;
;  HEX0[1]       ; SW[8]                           ; 5.163 ; 5.163 ; Rise       ; SW[8]                           ;
;  HEX0[2]       ; SW[8]                           ; 5.287 ; 5.287 ; Rise       ; SW[8]                           ;
;  HEX0[3]       ; SW[8]                           ; 5.297 ; 5.297 ; Rise       ; SW[8]                           ;
;  HEX0[4]       ; SW[8]                           ; 5.327 ; 5.327 ; Rise       ; SW[8]                           ;
;  HEX0[5]       ; SW[8]                           ; 5.322 ; 5.322 ; Rise       ; SW[8]                           ;
;  HEX0[6]       ; SW[8]                           ; 5.391 ; 5.391 ; Rise       ; SW[8]                           ;
; HEX1[*]        ; SW[8]                           ; 5.495 ; 5.495 ; Rise       ; SW[8]                           ;
;  HEX1[0]       ; SW[8]                           ; 5.481 ; 5.481 ; Rise       ; SW[8]                           ;
;  HEX1[1]       ; SW[8]                           ; 5.380 ; 5.380 ; Rise       ; SW[8]                           ;
;  HEX1[2]       ; SW[8]                           ; 5.375 ; 5.375 ; Rise       ; SW[8]                           ;
;  HEX1[3]       ; SW[8]                           ; 5.383 ; 5.383 ; Rise       ; SW[8]                           ;
;  HEX1[4]       ; SW[8]                           ; 5.494 ; 5.494 ; Rise       ; SW[8]                           ;
;  HEX1[5]       ; SW[8]                           ; 5.324 ; 5.324 ; Rise       ; SW[8]                           ;
;  HEX1[6]       ; SW[8]                           ; 5.495 ; 5.495 ; Rise       ; SW[8]                           ;
; HEX2[*]        ; SW[8]                           ; 6.094 ; 6.094 ; Rise       ; SW[8]                           ;
;  HEX2[0]       ; SW[8]                           ; 5.368 ; 5.368 ; Rise       ; SW[8]                           ;
;  HEX2[1]       ; SW[8]                           ; 5.367 ; 5.367 ; Rise       ; SW[8]                           ;
;  HEX2[2]       ; SW[8]                           ; 5.418 ; 5.418 ; Rise       ; SW[8]                           ;
;  HEX2[3]       ; SW[8]                           ; 5.339 ; 5.339 ; Rise       ; SW[8]                           ;
;  HEX2[4]       ; SW[8]                           ; 6.094 ; 6.094 ; Rise       ; SW[8]                           ;
;  HEX2[5]       ; SW[8]                           ; 5.435 ; 5.435 ; Rise       ; SW[8]                           ;
;  HEX2[6]       ; SW[8]                           ; 5.484 ; 5.484 ; Rise       ; SW[8]                           ;
; HEX3[*]        ; SW[8]                           ; 5.661 ; 5.661 ; Rise       ; SW[8]                           ;
;  HEX3[0]       ; SW[8]                           ; 5.316 ; 5.316 ; Rise       ; SW[8]                           ;
;  HEX3[1]       ; SW[8]                           ; 5.571 ; 5.571 ; Rise       ; SW[8]                           ;
;  HEX3[2]       ; SW[8]                           ; 5.661 ; 5.661 ; Rise       ; SW[8]                           ;
;  HEX3[3]       ; SW[8]                           ; 5.270 ; 5.270 ; Rise       ; SW[8]                           ;
;  HEX3[4]       ; SW[8]                           ; 5.147 ; 5.147 ; Rise       ; SW[8]                           ;
;  HEX3[5]       ; SW[8]                           ; 5.321 ; 5.321 ; Rise       ; SW[8]                           ;
;  HEX3[6]       ; SW[8]                           ; 5.586 ; 5.586 ; Rise       ; SW[8]                           ;
; LEDG[*]        ; SW[8]                           ; 6.578 ; 6.578 ; Rise       ; SW[8]                           ;
;  LEDG[0]       ; SW[8]                           ; 6.304 ; 6.304 ; Rise       ; SW[8]                           ;
;  LEDG[1]       ; SW[8]                           ; 6.227 ; 6.227 ; Rise       ; SW[8]                           ;
;  LEDG[2]       ; SW[8]                           ; 5.149 ; 5.149 ; Rise       ; SW[8]                           ;
;  LEDG[3]       ; SW[8]                           ; 5.025 ; 5.025 ; Rise       ; SW[8]                           ;
;  LEDG[4]       ; SW[8]                           ; 5.161 ; 5.161 ; Rise       ; SW[8]                           ;
;  LEDG[5]       ; SW[8]                           ; 5.177 ; 5.177 ; Rise       ; SW[8]                           ;
;  LEDG[6]       ; SW[8]                           ; 5.049 ; 5.049 ; Rise       ; SW[8]                           ;
;  LEDG[7]       ; SW[8]                           ; 6.578 ; 6.578 ; Rise       ; SW[8]                           ;
; LEDR[*]        ; SW[8]                           ; 5.299 ; 5.299 ; Rise       ; SW[8]                           ;
;  LEDR[0]       ; SW[8]                           ; 5.189 ; 5.189 ; Rise       ; SW[8]                           ;
;  LEDR[1]       ; SW[8]                           ; 5.144 ; 5.144 ; Rise       ; SW[8]                           ;
;  LEDR[2]       ; SW[8]                           ; 5.157 ; 5.157 ; Rise       ; SW[8]                           ;
;  LEDR[3]       ; SW[8]                           ; 5.172 ; 5.172 ; Rise       ; SW[8]                           ;
;  LEDR[4]       ; SW[8]                           ; 5.272 ; 5.272 ; Rise       ; SW[8]                           ;
;  LEDR[5]       ; SW[8]                           ; 5.193 ; 5.193 ; Rise       ; SW[8]                           ;
;  LEDR[6]       ; SW[8]                           ; 5.180 ; 5.180 ; Rise       ; SW[8]                           ;
;  LEDR[7]       ; SW[8]                           ; 5.299 ; 5.299 ; Rise       ; SW[8]                           ;
;  LEDR[8]       ; SW[8]                           ; 3.209 ; 3.209 ; Rise       ; SW[8]                           ;
; SRAM_ADDR[*]   ; SW[8]                           ; 6.636 ; 6.636 ; Rise       ; SW[8]                           ;
;  SRAM_ADDR[0]  ; SW[8]                           ; 5.693 ; 5.693 ; Rise       ; SW[8]                           ;
;  SRAM_ADDR[1]  ; SW[8]                           ; 5.940 ; 5.940 ; Rise       ; SW[8]                           ;
;  SRAM_ADDR[2]  ; SW[8]                           ; 5.935 ; 5.935 ; Rise       ; SW[8]                           ;
;  SRAM_ADDR[3]  ; SW[8]                           ; 6.038 ; 6.038 ; Rise       ; SW[8]                           ;
;  SRAM_ADDR[4]  ; SW[8]                           ; 5.887 ; 5.887 ; Rise       ; SW[8]                           ;
;  SRAM_ADDR[5]  ; SW[8]                           ; 5.826 ; 5.826 ; Rise       ; SW[8]                           ;
;  SRAM_ADDR[6]  ; SW[8]                           ; 5.897 ; 5.897 ; Rise       ; SW[8]                           ;
;  SRAM_ADDR[7]  ; SW[8]                           ; 6.102 ; 6.102 ; Rise       ; SW[8]                           ;
;  SRAM_ADDR[8]  ; SW[8]                           ; 5.478 ; 5.478 ; Rise       ; SW[8]                           ;
;  SRAM_ADDR[9]  ; SW[8]                           ; 5.575 ; 5.575 ; Rise       ; SW[8]                           ;
;  SRAM_ADDR[10] ; SW[8]                           ; 5.729 ; 5.729 ; Rise       ; SW[8]                           ;
;  SRAM_ADDR[11] ; SW[8]                           ; 5.641 ; 5.641 ; Rise       ; SW[8]                           ;
;  SRAM_ADDR[12] ; SW[8]                           ; 6.054 ; 6.054 ; Rise       ; SW[8]                           ;
;  SRAM_ADDR[13] ; SW[8]                           ; 6.296 ; 6.296 ; Rise       ; SW[8]                           ;
;  SRAM_ADDR[14] ; SW[8]                           ; 5.784 ; 5.784 ; Rise       ; SW[8]                           ;
;  SRAM_ADDR[15] ; SW[8]                           ; 6.636 ; 6.636 ; Rise       ; SW[8]                           ;
; SRAM_DQ[*]     ; SW[8]                           ; 6.420 ; 6.420 ; Rise       ; SW[8]                           ;
;  SRAM_DQ[0]    ; SW[8]                           ; 5.988 ; 5.988 ; Rise       ; SW[8]                           ;
;  SRAM_DQ[1]    ; SW[8]                           ; 5.823 ; 5.823 ; Rise       ; SW[8]                           ;
;  SRAM_DQ[2]    ; SW[8]                           ; 5.994 ; 5.994 ; Rise       ; SW[8]                           ;
;  SRAM_DQ[3]    ; SW[8]                           ; 5.778 ; 5.778 ; Rise       ; SW[8]                           ;
;  SRAM_DQ[4]    ; SW[8]                           ; 5.880 ; 5.880 ; Rise       ; SW[8]                           ;
;  SRAM_DQ[5]    ; SW[8]                           ; 6.420 ; 6.420 ; Rise       ; SW[8]                           ;
;  SRAM_DQ[6]    ; SW[8]                           ; 5.905 ; 5.905 ; Rise       ; SW[8]                           ;
;  SRAM_DQ[7]    ; SW[8]                           ; 6.296 ; 6.296 ; Rise       ; SW[8]                           ;
; SRAM_OE_N      ; SW[8]                           ; 6.922 ; 6.922 ; Rise       ; SW[8]                           ;
; SRAM_WE_N      ; SW[8]                           ; 6.786 ; 6.786 ; Rise       ; SW[8]                           ;
; LEDR[*]        ; SW[8]                           ; 3.209 ; 3.209 ; Fall       ; SW[8]                           ;
;  LEDR[8]       ; SW[8]                           ; 3.209 ; 3.209 ; Fall       ; SW[8]                           ;
; VGA_B[*]       ; clk_div:clkdiv_inst|clock_25MHz ; 4.049 ; 4.049 ; Rise       ; clk_div:clkdiv_inst|clock_25MHz ;
;  VGA_B[0]      ; clk_div:clkdiv_inst|clock_25MHz ; 4.049 ; 4.049 ; Rise       ; clk_div:clkdiv_inst|clock_25MHz ;
;  VGA_B[1]      ; clk_div:clkdiv_inst|clock_25MHz ; 3.902 ; 3.902 ; Rise       ; clk_div:clkdiv_inst|clock_25MHz ;
;  VGA_B[2]      ; clk_div:clkdiv_inst|clock_25MHz ; 4.012 ; 4.012 ; Rise       ; clk_div:clkdiv_inst|clock_25MHz ;
;  VGA_B[3]      ; clk_div:clkdiv_inst|clock_25MHz ; 3.881 ; 3.881 ; Rise       ; clk_div:clkdiv_inst|clock_25MHz ;
; VGA_HS         ; clk_div:clkdiv_inst|clock_25MHz ; 4.148 ; 4.148 ; Rise       ; clk_div:clkdiv_inst|clock_25MHz ;
; VGA_VS         ; clk_div:clkdiv_inst|clock_25MHz ; 3.690 ; 3.690 ; Rise       ; clk_div:clkdiv_inst|clock_25MHz ;
+----------------+---------------------------------+-------+-------+------------+---------------------------------+


+-----------------------------------------------------------------------------------------------------------------+
; Minimum Clock to Output Times                                                                                   ;
+----------------+---------------------------------+-------+-------+------------+---------------------------------+
; Data Port      ; Clock Port                      ; Rise  ; Fall  ; Clock Edge ; Clock Reference                 ;
+----------------+---------------------------------+-------+-------+------------+---------------------------------+
; HEX0[*]        ; SW[8]                           ; 4.984 ; 4.984 ; Rise       ; SW[8]                           ;
;  HEX0[0]       ; SW[8]                           ; 5.016 ; 5.016 ; Rise       ; SW[8]                           ;
;  HEX0[1]       ; SW[8]                           ; 4.984 ; 4.984 ; Rise       ; SW[8]                           ;
;  HEX0[2]       ; SW[8]                           ; 5.110 ; 5.110 ; Rise       ; SW[8]                           ;
;  HEX0[3]       ; SW[8]                           ; 5.177 ; 5.177 ; Rise       ; SW[8]                           ;
;  HEX0[4]       ; SW[8]                           ; 5.148 ; 5.148 ; Rise       ; SW[8]                           ;
;  HEX0[5]       ; SW[8]                           ; 5.201 ; 5.201 ; Rise       ; SW[8]                           ;
;  HEX0[6]       ; SW[8]                           ; 5.130 ; 5.130 ; Rise       ; SW[8]                           ;
; HEX1[*]        ; SW[8]                           ; 5.115 ; 5.115 ; Rise       ; SW[8]                           ;
;  HEX1[0]       ; SW[8]                           ; 5.263 ; 5.263 ; Rise       ; SW[8]                           ;
;  HEX1[1]       ; SW[8]                           ; 5.115 ; 5.115 ; Rise       ; SW[8]                           ;
;  HEX1[2]       ; SW[8]                           ; 5.158 ; 5.158 ; Rise       ; SW[8]                           ;
;  HEX1[3]       ; SW[8]                           ; 5.166 ; 5.166 ; Rise       ; SW[8]                           ;
;  HEX1[4]       ; SW[8]                           ; 5.231 ; 5.231 ; Rise       ; SW[8]                           ;
;  HEX1[5]       ; SW[8]                           ; 5.152 ; 5.152 ; Rise       ; SW[8]                           ;
;  HEX1[6]       ; SW[8]                           ; 5.232 ; 5.232 ; Rise       ; SW[8]                           ;
; HEX2[*]        ; SW[8]                           ; 5.147 ; 5.147 ; Rise       ; SW[8]                           ;
;  HEX2[0]       ; SW[8]                           ; 5.179 ; 5.179 ; Rise       ; SW[8]                           ;
;  HEX2[1]       ; SW[8]                           ; 5.186 ; 5.186 ; Rise       ; SW[8]                           ;
;  HEX2[2]       ; SW[8]                           ; 5.226 ; 5.226 ; Rise       ; SW[8]                           ;
;  HEX2[3]       ; SW[8]                           ; 5.147 ; 5.147 ; Rise       ; SW[8]                           ;
;  HEX2[4]       ; SW[8]                           ; 5.329 ; 5.329 ; Rise       ; SW[8]                           ;
;  HEX2[5]       ; SW[8]                           ; 5.247 ; 5.247 ; Rise       ; SW[8]                           ;
;  HEX2[6]       ; SW[8]                           ; 5.297 ; 5.297 ; Rise       ; SW[8]                           ;
; HEX3[*]        ; SW[8]                           ; 4.959 ; 4.959 ; Rise       ; SW[8]                           ;
;  HEX3[0]       ; SW[8]                           ; 5.171 ; 5.171 ; Rise       ; SW[8]                           ;
;  HEX3[1]       ; SW[8]                           ; 5.422 ; 5.422 ; Rise       ; SW[8]                           ;
;  HEX3[2]       ; SW[8]                           ; 5.509 ; 5.509 ; Rise       ; SW[8]                           ;
;  HEX3[3]       ; SW[8]                           ; 5.083 ; 5.083 ; Rise       ; SW[8]                           ;
;  HEX3[4]       ; SW[8]                           ; 4.959 ; 4.959 ; Rise       ; SW[8]                           ;
;  HEX3[5]       ; SW[8]                           ; 5.131 ; 5.131 ; Rise       ; SW[8]                           ;
;  HEX3[6]       ; SW[8]                           ; 5.349 ; 5.349 ; Rise       ; SW[8]                           ;
; LEDG[*]        ; SW[8]                           ; 5.025 ; 5.025 ; Rise       ; SW[8]                           ;
;  LEDG[0]       ; SW[8]                           ; 6.304 ; 6.304 ; Rise       ; SW[8]                           ;
;  LEDG[1]       ; SW[8]                           ; 6.227 ; 6.227 ; Rise       ; SW[8]                           ;
;  LEDG[2]       ; SW[8]                           ; 5.149 ; 5.149 ; Rise       ; SW[8]                           ;
;  LEDG[3]       ; SW[8]                           ; 5.025 ; 5.025 ; Rise       ; SW[8]                           ;
;  LEDG[4]       ; SW[8]                           ; 5.161 ; 5.161 ; Rise       ; SW[8]                           ;
;  LEDG[5]       ; SW[8]                           ; 5.177 ; 5.177 ; Rise       ; SW[8]                           ;
;  LEDG[6]       ; SW[8]                           ; 5.049 ; 5.049 ; Rise       ; SW[8]                           ;
;  LEDG[7]       ; SW[8]                           ; 6.578 ; 6.578 ; Rise       ; SW[8]                           ;
; LEDR[*]        ; SW[8]                           ; 3.209 ; 3.209 ; Rise       ; SW[8]                           ;
;  LEDR[0]       ; SW[8]                           ; 5.189 ; 5.189 ; Rise       ; SW[8]                           ;
;  LEDR[1]       ; SW[8]                           ; 5.144 ; 5.144 ; Rise       ; SW[8]                           ;
;  LEDR[2]       ; SW[8]                           ; 5.157 ; 5.157 ; Rise       ; SW[8]                           ;
;  LEDR[3]       ; SW[8]                           ; 5.172 ; 5.172 ; Rise       ; SW[8]                           ;
;  LEDR[4]       ; SW[8]                           ; 5.272 ; 5.272 ; Rise       ; SW[8]                           ;
;  LEDR[5]       ; SW[8]                           ; 5.193 ; 5.193 ; Rise       ; SW[8]                           ;
;  LEDR[6]       ; SW[8]                           ; 5.180 ; 5.180 ; Rise       ; SW[8]                           ;
;  LEDR[7]       ; SW[8]                           ; 5.299 ; 5.299 ; Rise       ; SW[8]                           ;
;  LEDR[8]       ; SW[8]                           ; 3.209 ; 3.209 ; Rise       ; SW[8]                           ;
; SRAM_ADDR[*]   ; SW[8]                           ; 5.478 ; 5.478 ; Rise       ; SW[8]                           ;
;  SRAM_ADDR[0]  ; SW[8]                           ; 5.693 ; 5.693 ; Rise       ; SW[8]                           ;
;  SRAM_ADDR[1]  ; SW[8]                           ; 5.940 ; 5.940 ; Rise       ; SW[8]                           ;
;  SRAM_ADDR[2]  ; SW[8]                           ; 5.935 ; 5.935 ; Rise       ; SW[8]                           ;
;  SRAM_ADDR[3]  ; SW[8]                           ; 6.038 ; 6.038 ; Rise       ; SW[8]                           ;
;  SRAM_ADDR[4]  ; SW[8]                           ; 5.887 ; 5.887 ; Rise       ; SW[8]                           ;
;  SRAM_ADDR[5]  ; SW[8]                           ; 5.826 ; 5.826 ; Rise       ; SW[8]                           ;
;  SRAM_ADDR[6]  ; SW[8]                           ; 5.897 ; 5.897 ; Rise       ; SW[8]                           ;
;  SRAM_ADDR[7]  ; SW[8]                           ; 6.102 ; 6.102 ; Rise       ; SW[8]                           ;
;  SRAM_ADDR[8]  ; SW[8]                           ; 5.478 ; 5.478 ; Rise       ; SW[8]                           ;
;  SRAM_ADDR[9]  ; SW[8]                           ; 5.575 ; 5.575 ; Rise       ; SW[8]                           ;
;  SRAM_ADDR[10] ; SW[8]                           ; 5.729 ; 5.729 ; Rise       ; SW[8]                           ;
;  SRAM_ADDR[11] ; SW[8]                           ; 5.641 ; 5.641 ; Rise       ; SW[8]                           ;
;  SRAM_ADDR[12] ; SW[8]                           ; 6.054 ; 6.054 ; Rise       ; SW[8]                           ;
;  SRAM_ADDR[13] ; SW[8]                           ; 6.296 ; 6.296 ; Rise       ; SW[8]                           ;
;  SRAM_ADDR[14] ; SW[8]                           ; 5.784 ; 5.784 ; Rise       ; SW[8]                           ;
;  SRAM_ADDR[15] ; SW[8]                           ; 6.633 ; 6.633 ; Rise       ; SW[8]                           ;
; SRAM_DQ[*]     ; SW[8]                           ; 5.778 ; 5.778 ; Rise       ; SW[8]                           ;
;  SRAM_DQ[0]    ; SW[8]                           ; 5.988 ; 5.988 ; Rise       ; SW[8]                           ;
;  SRAM_DQ[1]    ; SW[8]                           ; 5.823 ; 5.823 ; Rise       ; SW[8]                           ;
;  SRAM_DQ[2]    ; SW[8]                           ; 5.994 ; 5.994 ; Rise       ; SW[8]                           ;
;  SRAM_DQ[3]    ; SW[8]                           ; 5.778 ; 5.778 ; Rise       ; SW[8]                           ;
;  SRAM_DQ[4]    ; SW[8]                           ; 5.880 ; 5.880 ; Rise       ; SW[8]                           ;
;  SRAM_DQ[5]    ; SW[8]                           ; 6.420 ; 6.420 ; Rise       ; SW[8]                           ;
;  SRAM_DQ[6]    ; SW[8]                           ; 5.905 ; 5.905 ; Rise       ; SW[8]                           ;
;  SRAM_DQ[7]    ; SW[8]                           ; 6.296 ; 6.296 ; Rise       ; SW[8]                           ;
; SRAM_OE_N      ; SW[8]                           ; 6.555 ; 6.555 ; Rise       ; SW[8]                           ;
; SRAM_WE_N      ; SW[8]                           ; 6.329 ; 6.329 ; Rise       ; SW[8]                           ;
; LEDR[*]        ; SW[8]                           ; 3.209 ; 3.209 ; Fall       ; SW[8]                           ;
;  LEDR[8]       ; SW[8]                           ; 3.209 ; 3.209 ; Fall       ; SW[8]                           ;
; VGA_B[*]       ; clk_div:clkdiv_inst|clock_25MHz ; 3.881 ; 3.881 ; Rise       ; clk_div:clkdiv_inst|clock_25MHz ;
;  VGA_B[0]      ; clk_div:clkdiv_inst|clock_25MHz ; 4.049 ; 4.049 ; Rise       ; clk_div:clkdiv_inst|clock_25MHz ;
;  VGA_B[1]      ; clk_div:clkdiv_inst|clock_25MHz ; 3.902 ; 3.902 ; Rise       ; clk_div:clkdiv_inst|clock_25MHz ;
;  VGA_B[2]      ; clk_div:clkdiv_inst|clock_25MHz ; 4.012 ; 4.012 ; Rise       ; clk_div:clkdiv_inst|clock_25MHz ;
;  VGA_B[3]      ; clk_div:clkdiv_inst|clock_25MHz ; 3.881 ; 3.881 ; Rise       ; clk_div:clkdiv_inst|clock_25MHz ;
; VGA_HS         ; clk_div:clkdiv_inst|clock_25MHz ; 4.148 ; 4.148 ; Rise       ; clk_div:clkdiv_inst|clock_25MHz ;
; VGA_VS         ; clk_div:clkdiv_inst|clock_25MHz ; 3.690 ; 3.690 ; Rise       ; clk_div:clkdiv_inst|clock_25MHz ;
+----------------+---------------------------------+-------+-------+------------+---------------------------------+


+----------------------------------------------------+
; Propagation Delay                                  ;
+------------+-------------+-------+----+----+-------+
; Input Port ; Output Port ; RR    ; RF ; FR ; FF    ;
+------------+-------------+-------+----+----+-------+
; SW[9]      ; LEDR[9]     ; 2.988 ;    ;    ; 2.988 ;
+------------+-------------+-------+----+----+-------+


+----------------------------------------------------+
; Minimum Propagation Delay                          ;
+------------+-------------+-------+----+----+-------+
; Input Port ; Output Port ; RR    ; RF ; FR ; FF    ;
+------------+-------------+-------+----+----+-------+
; SW[9]      ; LEDR[9]     ; 2.988 ;    ;    ; 2.988 ;
+------------+-------------+-------+----+----+-------+


+------------------------------------------------------------------------+
; Output Enable Times                                                    ;
+-------------+------------+-------+------+------------+-----------------+
; Data Port   ; Clock Port ; Rise  ; Fall ; Clock Edge ; Clock Reference ;
+-------------+------------+-------+------+------------+-----------------+
; SRAM_DQ[*]  ; SW[8]      ; 6.453 ;      ; Rise       ; SW[8]           ;
;  SRAM_DQ[0] ; SW[8]      ; 6.670 ;      ; Rise       ; SW[8]           ;
;  SRAM_DQ[1] ; SW[8]      ; 6.680 ;      ; Rise       ; SW[8]           ;
;  SRAM_DQ[2] ; SW[8]      ; 6.468 ;      ; Rise       ; SW[8]           ;
;  SRAM_DQ[3] ; SW[8]      ; 6.478 ;      ; Rise       ; SW[8]           ;
;  SRAM_DQ[4] ; SW[8]      ; 6.804 ;      ; Rise       ; SW[8]           ;
;  SRAM_DQ[5] ; SW[8]      ; 6.718 ;      ; Rise       ; SW[8]           ;
;  SRAM_DQ[6] ; SW[8]      ; 6.648 ;      ; Rise       ; SW[8]           ;
;  SRAM_DQ[7] ; SW[8]      ; 6.453 ;      ; Rise       ; SW[8]           ;
+-------------+------------+-------+------+------------+-----------------+


+------------------------------------------------------------------------+
; Minimum Output Enable Times                                            ;
+-------------+------------+-------+------+------------+-----------------+
; Data Port   ; Clock Port ; Rise  ; Fall ; Clock Edge ; Clock Reference ;
+-------------+------------+-------+------+------------+-----------------+
; SRAM_DQ[*]  ; SW[8]      ; 5.996 ;      ; Rise       ; SW[8]           ;
;  SRAM_DQ[0] ; SW[8]      ; 6.213 ;      ; Rise       ; SW[8]           ;
;  SRAM_DQ[1] ; SW[8]      ; 6.223 ;      ; Rise       ; SW[8]           ;
;  SRAM_DQ[2] ; SW[8]      ; 6.011 ;      ; Rise       ; SW[8]           ;
;  SRAM_DQ[3] ; SW[8]      ; 6.021 ;      ; Rise       ; SW[8]           ;
;  SRAM_DQ[4] ; SW[8]      ; 6.347 ;      ; Rise       ; SW[8]           ;
;  SRAM_DQ[5] ; SW[8]      ; 6.261 ;      ; Rise       ; SW[8]           ;
;  SRAM_DQ[6] ; SW[8]      ; 6.191 ;      ; Rise       ; SW[8]           ;
;  SRAM_DQ[7] ; SW[8]      ; 5.996 ;      ; Rise       ; SW[8]           ;
+-------------+------------+-------+------+------------+-----------------+


+---------------------------------------------------------------------------------+
; Output Disable Times                                                            ;
+-------------+------------+-----------+-----------+------------+-----------------+
; Data Port   ; Clock Port ; 0 to Hi-Z ; 1 to Hi-Z ; Clock Edge ; Clock Reference ;
+-------------+------------+-----------+-----------+------------+-----------------+
; SRAM_DQ[*]  ; SW[8]      ; 6.453     ;           ; Rise       ; SW[8]           ;
;  SRAM_DQ[0] ; SW[8]      ; 6.670     ;           ; Rise       ; SW[8]           ;
;  SRAM_DQ[1] ; SW[8]      ; 6.680     ;           ; Rise       ; SW[8]           ;
;  SRAM_DQ[2] ; SW[8]      ; 6.468     ;           ; Rise       ; SW[8]           ;
;  SRAM_DQ[3] ; SW[8]      ; 6.478     ;           ; Rise       ; SW[8]           ;
;  SRAM_DQ[4] ; SW[8]      ; 6.804     ;           ; Rise       ; SW[8]           ;
;  SRAM_DQ[5] ; SW[8]      ; 6.718     ;           ; Rise       ; SW[8]           ;
;  SRAM_DQ[6] ; SW[8]      ; 6.648     ;           ; Rise       ; SW[8]           ;
;  SRAM_DQ[7] ; SW[8]      ; 6.453     ;           ; Rise       ; SW[8]           ;
+-------------+------------+-----------+-----------+------------+-----------------+


+---------------------------------------------------------------------------------+
; Minimum Output Disable Times                                                    ;
+-------------+------------+-----------+-----------+------------+-----------------+
; Data Port   ; Clock Port ; 0 to Hi-Z ; 1 to Hi-Z ; Clock Edge ; Clock Reference ;
+-------------+------------+-----------+-----------+------------+-----------------+
; SRAM_DQ[*]  ; SW[8]      ; 5.996     ;           ; Rise       ; SW[8]           ;
;  SRAM_DQ[0] ; SW[8]      ; 6.213     ;           ; Rise       ; SW[8]           ;
;  SRAM_DQ[1] ; SW[8]      ; 6.223     ;           ; Rise       ; SW[8]           ;
;  SRAM_DQ[2] ; SW[8]      ; 6.011     ;           ; Rise       ; SW[8]           ;
;  SRAM_DQ[3] ; SW[8]      ; 6.021     ;           ; Rise       ; SW[8]           ;
;  SRAM_DQ[4] ; SW[8]      ; 6.347     ;           ; Rise       ; SW[8]           ;
;  SRAM_DQ[5] ; SW[8]      ; 6.261     ;           ; Rise       ; SW[8]           ;
;  SRAM_DQ[6] ; SW[8]      ; 6.191     ;           ; Rise       ; SW[8]           ;
;  SRAM_DQ[7] ; SW[8]      ; 5.996     ;           ; Rise       ; SW[8]           ;
+-------------+------------+-----------+-----------+------------+-----------------+


+-------------------------------------------------------------------------------------------------------------------------------+
; Multicorner Timing Analysis Summary                                                                                           ;
+--------------------------------------------------------------+-----------+---------+----------+---------+---------------------+
; Clock                                                        ; Setup     ; Hold    ; Recovery ; Removal ; Minimum Pulse Width ;
+--------------------------------------------------------------+-----------+---------+----------+---------+---------------------+
; Worst-case Slack                                             ; -15.385   ; -2.703  ; -2.017   ; 1.775   ; -2.064              ;
;  CLOCK_50                                                    ; -5.869    ; -2.703  ; N/A      ; N/A     ; -1.631              ;
;  SW[8]                                                       ; -15.385   ; -2.162  ; N/A      ; N/A     ; -2.064              ;
;  clk_div:clkdiv_inst|clock_100Hz                             ; -2.525    ; 0.242   ; N/A      ; N/A     ; -0.611              ;
;  clk_div:clkdiv_inst|clock_100Khz_int                        ; -0.267    ; -2.129  ; N/A      ; N/A     ; -0.611              ;
;  clk_div:clkdiv_inst|clock_10Khz_int                         ; -0.267    ; -2.302  ; N/A      ; N/A     ; -0.611              ;
;  clk_div:clkdiv_inst|clock_1Khz_int                          ; -0.435    ; 0.215   ; N/A      ; N/A     ; -0.611              ;
;  clk_div:clkdiv_inst|clock_1Mhz_int                          ; -0.425    ; -2.263  ; N/A      ; N/A     ; -0.611              ;
;  clk_div:clkdiv_inst|clock_25MHz                             ; -6.834    ; 0.215   ; N/A      ; N/A     ; -2.064              ;
;  clk_div:clkdiv_inst|clock_25Mhz_int                         ; -0.955    ; 0.251   ; N/A      ; N/A     ; -0.611              ;
;  ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; -2.466    ; -2.255  ; N/A      ; N/A     ; -0.611              ;
;  ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set             ; N/A       ; N/A     ; -2.017   ; 1.775   ; -0.611              ;
; Design-wide TNS                                              ; -8732.707 ; -41.292 ; -2.017   ; 0.0     ; -3949.576           ;
;  CLOCK_50                                                    ; -63.649   ; -7.867  ; N/A      ; N/A     ; -60.287             ;
;  SW[8]                                                       ; -5094.644 ; -24.476 ; N/A      ; N/A     ; -1495.845           ;
;  clk_div:clkdiv_inst|clock_100Hz                             ; -5.050    ; 0.000   ; N/A      ; N/A     ; -2.444              ;
;  clk_div:clkdiv_inst|clock_100Khz_int                        ; -0.713    ; -2.129  ; N/A      ; N/A     ; -4.888              ;
;  clk_div:clkdiv_inst|clock_10Khz_int                         ; -0.713    ; -2.302  ; N/A      ; N/A     ; -4.888              ;
;  clk_div:clkdiv_inst|clock_1Khz_int                          ; -0.882    ; 0.000   ; N/A      ; N/A     ; -4.888              ;
;  clk_div:clkdiv_inst|clock_1Mhz_int                          ; -0.836    ; -2.263  ; N/A      ; N/A     ; -4.888              ;
;  clk_div:clkdiv_inst|clock_25MHz                             ; -3515.540 ; 0.000   ; N/A      ; N/A     ; -2334.788           ;
;  clk_div:clkdiv_inst|clock_25Mhz_int                         ; -5.012    ; 0.000   ; N/A      ; N/A     ; -7.332              ;
;  ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; -45.668   ; -2.255  ; N/A      ; N/A     ; -28.106             ;
;  ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set             ; N/A       ; N/A     ; -2.017   ; 0.000   ; -1.222              ;
+--------------------------------------------------------------+-----------+---------+----------+---------+---------------------+


+------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Setup Times                                                                                                                                                            ;
+-------------+-------------------------------------------------------------+--------+--------+------------+-------------------------------------------------------------+
; Data Port   ; Clock Port                                                  ; Rise   ; Fall   ; Clock Edge ; Clock Reference                                             ;
+-------------+-------------------------------------------------------------+--------+--------+------------+-------------------------------------------------------------+
; PS2_CLK     ; CLOCK_50                                                    ; 4.278  ; 4.278  ; Rise       ; CLOCK_50                                                    ;
; KEY[*]      ; SW[8]                                                       ; 5.620  ; 5.620  ; Rise       ; SW[8]                                                       ;
;  KEY[0]     ; SW[8]                                                       ; 5.040  ; 5.040  ; Rise       ; SW[8]                                                       ;
;  KEY[1]     ; SW[8]                                                       ; 5.620  ; 5.620  ; Rise       ; SW[8]                                                       ;
;  KEY[2]     ; SW[8]                                                       ; 4.125  ; 4.125  ; Rise       ; SW[8]                                                       ;
;  KEY[3]     ; SW[8]                                                       ; 5.046  ; 5.046  ; Rise       ; SW[8]                                                       ;
; SRAM_DQ[*]  ; SW[8]                                                       ; 6.879  ; 6.879  ; Rise       ; SW[8]                                                       ;
;  SRAM_DQ[0] ; SW[8]                                                       ; 6.879  ; 6.879  ; Rise       ; SW[8]                                                       ;
;  SRAM_DQ[1] ; SW[8]                                                       ; 6.675  ; 6.675  ; Rise       ; SW[8]                                                       ;
;  SRAM_DQ[2] ; SW[8]                                                       ; 6.080  ; 6.080  ; Rise       ; SW[8]                                                       ;
;  SRAM_DQ[3] ; SW[8]                                                       ; 6.602  ; 6.602  ; Rise       ; SW[8]                                                       ;
;  SRAM_DQ[4] ; SW[8]                                                       ; 4.122  ; 4.122  ; Rise       ; SW[8]                                                       ;
;  SRAM_DQ[5] ; SW[8]                                                       ; 4.346  ; 4.346  ; Rise       ; SW[8]                                                       ;
;  SRAM_DQ[6] ; SW[8]                                                       ; 3.594  ; 3.594  ; Rise       ; SW[8]                                                       ;
;  SRAM_DQ[7] ; SW[8]                                                       ; 4.849  ; 4.849  ; Rise       ; SW[8]                                                       ;
; SW[*]       ; SW[8]                                                       ; 1.028  ; 1.028  ; Rise       ; SW[8]                                                       ;
;  SW[0]      ; SW[8]                                                       ; 0.729  ; 0.729  ; Rise       ; SW[8]                                                       ;
;  SW[1]      ; SW[8]                                                       ; 0.404  ; 0.404  ; Rise       ; SW[8]                                                       ;
;  SW[2]      ; SW[8]                                                       ; -0.100 ; -0.100 ; Rise       ; SW[8]                                                       ;
;  SW[3]      ; SW[8]                                                       ; 1.028  ; 1.028  ; Rise       ; SW[8]                                                       ;
;  SW[4]      ; SW[8]                                                       ; -0.522 ; -0.522 ; Rise       ; SW[8]                                                       ;
;  SW[5]      ; SW[8]                                                       ; -0.624 ; -0.624 ; Rise       ; SW[8]                                                       ;
;  SW[6]      ; SW[8]                                                       ; -0.719 ; -0.719 ; Rise       ; SW[8]                                                       ;
;  SW[7]      ; SW[8]                                                       ; -0.365 ; -0.365 ; Rise       ; SW[8]                                                       ;
; PS2_DAT     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 5.276  ; 5.276  ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ;
; SW[*]       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 2.574  ; 2.574  ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ;
;  SW[9]      ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 2.574  ; 2.574  ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ;
+-------------+-------------------------------------------------------------+--------+--------+------------+-------------------------------------------------------------+


+------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Hold Times                                                                                                                                                             ;
+-------------+-------------------------------------------------------------+--------+--------+------------+-------------------------------------------------------------+
; Data Port   ; Clock Port                                                  ; Rise   ; Fall   ; Clock Edge ; Clock Reference                                             ;
+-------------+-------------------------------------------------------------+--------+--------+------------+-------------------------------------------------------------+
; PS2_CLK     ; CLOCK_50                                                    ; -1.806 ; -1.806 ; Rise       ; CLOCK_50                                                    ;
; KEY[*]      ; SW[8]                                                       ; -1.602 ; -1.602 ; Rise       ; SW[8]                                                       ;
;  KEY[0]     ; SW[8]                                                       ; -1.844 ; -1.844 ; Rise       ; SW[8]                                                       ;
;  KEY[1]     ; SW[8]                                                       ; -2.018 ; -2.018 ; Rise       ; SW[8]                                                       ;
;  KEY[2]     ; SW[8]                                                       ; -1.602 ; -1.602 ; Rise       ; SW[8]                                                       ;
;  KEY[3]     ; SW[8]                                                       ; -1.821 ; -1.821 ; Rise       ; SW[8]                                                       ;
; SRAM_DQ[*]  ; SW[8]                                                       ; -1.182 ; -1.182 ; Rise       ; SW[8]                                                       ;
;  SRAM_DQ[0] ; SW[8]                                                       ; -2.635 ; -2.635 ; Rise       ; SW[8]                                                       ;
;  SRAM_DQ[1] ; SW[8]                                                       ; -2.516 ; -2.516 ; Rise       ; SW[8]                                                       ;
;  SRAM_DQ[2] ; SW[8]                                                       ; -2.350 ; -2.350 ; Rise       ; SW[8]                                                       ;
;  SRAM_DQ[3] ; SW[8]                                                       ; -2.472 ; -2.472 ; Rise       ; SW[8]                                                       ;
;  SRAM_DQ[4] ; SW[8]                                                       ; -1.424 ; -1.424 ; Rise       ; SW[8]                                                       ;
;  SRAM_DQ[5] ; SW[8]                                                       ; -1.439 ; -1.439 ; Rise       ; SW[8]                                                       ;
;  SRAM_DQ[6] ; SW[8]                                                       ; -1.182 ; -1.182 ; Rise       ; SW[8]                                                       ;
;  SRAM_DQ[7] ; SW[8]                                                       ; -1.778 ; -1.778 ; Rise       ; SW[8]                                                       ;
; SW[*]       ; SW[8]                                                       ; 1.768  ; 1.768  ; Rise       ; SW[8]                                                       ;
;  SW[0]      ; SW[8]                                                       ; 0.657  ; 0.657  ; Rise       ; SW[8]                                                       ;
;  SW[1]      ; SW[8]                                                       ; 0.763  ; 0.763  ; Rise       ; SW[8]                                                       ;
;  SW[2]      ; SW[8]                                                       ; 0.896  ; 0.896  ; Rise       ; SW[8]                                                       ;
;  SW[3]      ; SW[8]                                                       ; 0.402  ; 0.402  ; Rise       ; SW[8]                                                       ;
;  SW[4]      ; SW[8]                                                       ; 1.414  ; 1.414  ; Rise       ; SW[8]                                                       ;
;  SW[5]      ; SW[8]                                                       ; 1.768  ; 1.768  ; Rise       ; SW[8]                                                       ;
;  SW[6]      ; SW[8]                                                       ; 1.689  ; 1.689  ; Rise       ; SW[8]                                                       ;
;  SW[7]      ; SW[8]                                                       ; 1.100  ; 1.100  ; Rise       ; SW[8]                                                       ;
; PS2_DAT     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; -2.207 ; -2.207 ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ;
; SW[*]       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.170  ; 0.170  ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ;
;  SW[9]      ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.170  ; 0.170  ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ;
+-------------+-------------------------------------------------------------+--------+--------+------------+-------------------------------------------------------------+


+-------------------------------------------------------------------------------------------------------------------+
; Clock to Output Times                                                                                             ;
+----------------+---------------------------------+--------+--------+------------+---------------------------------+
; Data Port      ; Clock Port                      ; Rise   ; Fall   ; Clock Edge ; Clock Reference                 ;
+----------------+---------------------------------+--------+--------+------------+---------------------------------+
; HEX0[*]        ; SW[8]                           ; 11.126 ; 11.126 ; Rise       ; SW[8]                           ;
;  HEX0[0]       ; SW[8]                           ; 10.498 ; 10.498 ; Rise       ; SW[8]                           ;
;  HEX0[1]       ; SW[8]                           ; 10.523 ; 10.523 ; Rise       ; SW[8]                           ;
;  HEX0[2]       ; SW[8]                           ; 10.848 ; 10.848 ; Rise       ; SW[8]                           ;
;  HEX0[3]       ; SW[8]                           ; 10.872 ; 10.872 ; Rise       ; SW[8]                           ;
;  HEX0[4]       ; SW[8]                           ; 10.911 ; 10.911 ; Rise       ; SW[8]                           ;
;  HEX0[5]       ; SW[8]                           ; 10.900 ; 10.900 ; Rise       ; SW[8]                           ;
;  HEX0[6]       ; SW[8]                           ; 11.126 ; 11.126 ; Rise       ; SW[8]                           ;
; HEX1[*]        ; SW[8]                           ; 11.398 ; 11.398 ; Rise       ; SW[8]                           ;
;  HEX1[0]       ; SW[8]                           ; 11.367 ; 11.367 ; Rise       ; SW[8]                           ;
;  HEX1[1]       ; SW[8]                           ; 11.118 ; 11.118 ; Rise       ; SW[8]                           ;
;  HEX1[2]       ; SW[8]                           ; 11.109 ; 11.109 ; Rise       ; SW[8]                           ;
;  HEX1[3]       ; SW[8]                           ; 11.117 ; 11.117 ; Rise       ; SW[8]                           ;
;  HEX1[4]       ; SW[8]                           ; 11.398 ; 11.398 ; Rise       ; SW[8]                           ;
;  HEX1[5]       ; SW[8]                           ; 10.868 ; 10.868 ; Rise       ; SW[8]                           ;
;  HEX1[6]       ; SW[8]                           ; 11.386 ; 11.386 ; Rise       ; SW[8]                           ;
; HEX2[*]        ; SW[8]                           ; 13.082 ; 13.082 ; Rise       ; SW[8]                           ;
;  HEX2[0]       ; SW[8]                           ; 11.097 ; 11.097 ; Rise       ; SW[8]                           ;
;  HEX2[1]       ; SW[8]                           ; 11.101 ; 11.101 ; Rise       ; SW[8]                           ;
;  HEX2[2]       ; SW[8]                           ; 11.151 ; 11.151 ; Rise       ; SW[8]                           ;
;  HEX2[3]       ; SW[8]                           ; 10.916 ; 10.916 ; Rise       ; SW[8]                           ;
;  HEX2[4]       ; SW[8]                           ; 13.082 ; 13.082 ; Rise       ; SW[8]                           ;
;  HEX2[5]       ; SW[8]                           ; 11.230 ; 11.230 ; Rise       ; SW[8]                           ;
;  HEX2[6]       ; SW[8]                           ; 11.299 ; 11.299 ; Rise       ; SW[8]                           ;
; HEX3[*]        ; SW[8]                           ; 11.654 ; 11.654 ; Rise       ; SW[8]                           ;
;  HEX3[0]       ; SW[8]                           ; 10.890 ; 10.890 ; Rise       ; SW[8]                           ;
;  HEX3[1]       ; SW[8]                           ; 11.414 ; 11.414 ; Rise       ; SW[8]                           ;
;  HEX3[2]       ; SW[8]                           ; 11.654 ; 11.654 ; Rise       ; SW[8]                           ;
;  HEX3[3]       ; SW[8]                           ; 10.829 ; 10.829 ; Rise       ; SW[8]                           ;
;  HEX3[4]       ; SW[8]                           ; 10.501 ; 10.501 ; Rise       ; SW[8]                           ;
;  HEX3[5]       ; SW[8]                           ; 10.890 ; 10.890 ; Rise       ; SW[8]                           ;
;  HEX3[6]       ; SW[8]                           ; 11.648 ; 11.648 ; Rise       ; SW[8]                           ;
; LEDG[*]        ; SW[8]                           ; 13.664 ; 13.664 ; Rise       ; SW[8]                           ;
;  LEDG[0]       ; SW[8]                           ; 13.071 ; 13.071 ; Rise       ; SW[8]                           ;
;  LEDG[1]       ; SW[8]                           ; 12.955 ; 12.955 ; Rise       ; SW[8]                           ;
;  LEDG[2]       ; SW[8]                           ; 10.462 ; 10.462 ; Rise       ; SW[8]                           ;
;  LEDG[3]       ; SW[8]                           ; 10.130 ; 10.130 ; Rise       ; SW[8]                           ;
;  LEDG[4]       ; SW[8]                           ; 10.475 ; 10.475 ; Rise       ; SW[8]                           ;
;  LEDG[5]       ; SW[8]                           ; 10.497 ; 10.497 ; Rise       ; SW[8]                           ;
;  LEDG[6]       ; SW[8]                           ; 10.157 ; 10.157 ; Rise       ; SW[8]                           ;
;  LEDG[7]       ; SW[8]                           ; 13.664 ; 13.664 ; Rise       ; SW[8]                           ;
; LEDR[*]        ; SW[8]                           ; 10.685 ; 10.685 ; Rise       ; SW[8]                           ;
;  LEDR[0]       ; SW[8]                           ; 10.523 ; 10.523 ; Rise       ; SW[8]                           ;
;  LEDR[1]       ; SW[8]                           ; 10.461 ; 10.461 ; Rise       ; SW[8]                           ;
;  LEDR[2]       ; SW[8]                           ; 10.478 ; 10.478 ; Rise       ; SW[8]                           ;
;  LEDR[3]       ; SW[8]                           ; 10.487 ; 10.487 ; Rise       ; SW[8]                           ;
;  LEDR[4]       ; SW[8]                           ; 10.636 ; 10.636 ; Rise       ; SW[8]                           ;
;  LEDR[5]       ; SW[8]                           ; 10.531 ; 10.531 ; Rise       ; SW[8]                           ;
;  LEDR[6]       ; SW[8]                           ; 10.511 ; 10.511 ; Rise       ; SW[8]                           ;
;  LEDR[7]       ; SW[8]                           ; 10.685 ; 10.685 ; Rise       ; SW[8]                           ;
;  LEDR[8]       ; SW[8]                           ; 6.789  ; 6.789  ; Rise       ; SW[8]                           ;
; SRAM_ADDR[*]   ; SW[8]                           ; 13.976 ; 13.976 ; Rise       ; SW[8]                           ;
;  SRAM_ADDR[0]  ; SW[8]                           ; 11.417 ; 11.417 ; Rise       ; SW[8]                           ;
;  SRAM_ADDR[1]  ; SW[8]                           ; 12.060 ; 12.060 ; Rise       ; SW[8]                           ;
;  SRAM_ADDR[2]  ; SW[8]                           ; 11.874 ; 11.874 ; Rise       ; SW[8]                           ;
;  SRAM_ADDR[3]  ; SW[8]                           ; 12.246 ; 12.246 ; Rise       ; SW[8]                           ;
;  SRAM_ADDR[4]  ; SW[8]                           ; 11.877 ; 11.877 ; Rise       ; SW[8]                           ;
;  SRAM_ADDR[5]  ; SW[8]                           ; 11.847 ; 11.847 ; Rise       ; SW[8]                           ;
;  SRAM_ADDR[6]  ; SW[8]                           ; 11.909 ; 11.909 ; Rise       ; SW[8]                           ;
;  SRAM_ADDR[7]  ; SW[8]                           ; 12.202 ; 12.202 ; Rise       ; SW[8]                           ;
;  SRAM_ADDR[8]  ; SW[8]                           ; 10.965 ; 10.965 ; Rise       ; SW[8]                           ;
;  SRAM_ADDR[9]  ; SW[8]                           ; 11.222 ; 11.222 ; Rise       ; SW[8]                           ;
;  SRAM_ADDR[10] ; SW[8]                           ; 11.610 ; 11.610 ; Rise       ; SW[8]                           ;
;  SRAM_ADDR[11] ; SW[8]                           ; 11.339 ; 11.339 ; Rise       ; SW[8]                           ;
;  SRAM_ADDR[12] ; SW[8]                           ; 12.368 ; 12.368 ; Rise       ; SW[8]                           ;
;  SRAM_ADDR[13] ; SW[8]                           ; 13.166 ; 13.166 ; Rise       ; SW[8]                           ;
;  SRAM_ADDR[14] ; SW[8]                           ; 11.767 ; 11.767 ; Rise       ; SW[8]                           ;
;  SRAM_ADDR[15] ; SW[8]                           ; 13.976 ; 13.976 ; Rise       ; SW[8]                           ;
; SRAM_DQ[*]     ; SW[8]                           ; 13.387 ; 13.387 ; Rise       ; SW[8]                           ;
;  SRAM_DQ[0]    ; SW[8]                           ; 12.325 ; 12.325 ; Rise       ; SW[8]                           ;
;  SRAM_DQ[1]    ; SW[8]                           ; 11.859 ; 11.859 ; Rise       ; SW[8]                           ;
;  SRAM_DQ[2]    ; SW[8]                           ; 12.268 ; 12.268 ; Rise       ; SW[8]                           ;
;  SRAM_DQ[3]    ; SW[8]                           ; 11.668 ; 11.668 ; Rise       ; SW[8]                           ;
;  SRAM_DQ[4]    ; SW[8]                           ; 12.060 ; 12.060 ; Rise       ; SW[8]                           ;
;  SRAM_DQ[5]    ; SW[8]                           ; 13.387 ; 13.387 ; Rise       ; SW[8]                           ;
;  SRAM_DQ[6]    ; SW[8]                           ; 11.975 ; 11.975 ; Rise       ; SW[8]                           ;
;  SRAM_DQ[7]    ; SW[8]                           ; 13.005 ; 13.005 ; Rise       ; SW[8]                           ;
; SRAM_OE_N      ; SW[8]                           ; 14.662 ; 14.662 ; Rise       ; SW[8]                           ;
; SRAM_WE_N      ; SW[8]                           ; 14.228 ; 14.228 ; Rise       ; SW[8]                           ;
; LEDR[*]        ; SW[8]                           ; 6.789  ; 6.789  ; Fall       ; SW[8]                           ;
;  LEDR[8]       ; SW[8]                           ; 6.789  ; 6.789  ; Fall       ; SW[8]                           ;
; VGA_B[*]       ; clk_div:clkdiv_inst|clock_25MHz ; 7.744  ; 7.744  ; Rise       ; clk_div:clkdiv_inst|clock_25MHz ;
;  VGA_B[0]      ; clk_div:clkdiv_inst|clock_25MHz ; 7.744  ; 7.744  ; Rise       ; clk_div:clkdiv_inst|clock_25MHz ;
;  VGA_B[1]      ; clk_div:clkdiv_inst|clock_25MHz ; 7.404  ; 7.404  ; Rise       ; clk_div:clkdiv_inst|clock_25MHz ;
;  VGA_B[2]      ; clk_div:clkdiv_inst|clock_25MHz ; 7.682  ; 7.682  ; Rise       ; clk_div:clkdiv_inst|clock_25MHz ;
;  VGA_B[3]      ; clk_div:clkdiv_inst|clock_25MHz ; 7.380  ; 7.380  ; Rise       ; clk_div:clkdiv_inst|clock_25MHz ;
; VGA_HS         ; clk_div:clkdiv_inst|clock_25MHz ; 8.020  ; 8.020  ; Rise       ; clk_div:clkdiv_inst|clock_25MHz ;
; VGA_VS         ; clk_div:clkdiv_inst|clock_25MHz ; 6.830  ; 6.830  ; Rise       ; clk_div:clkdiv_inst|clock_25MHz ;
+----------------+---------------------------------+--------+--------+------------+---------------------------------+


+-----------------------------------------------------------------------------------------------------------------+
; Minimum Clock to Output Times                                                                                   ;
+----------------+---------------------------------+-------+-------+------------+---------------------------------+
; Data Port      ; Clock Port                      ; Rise  ; Fall  ; Clock Edge ; Clock Reference                 ;
+----------------+---------------------------------+-------+-------+------------+---------------------------------+
; HEX0[*]        ; SW[8]                           ; 4.984 ; 4.984 ; Rise       ; SW[8]                           ;
;  HEX0[0]       ; SW[8]                           ; 5.016 ; 5.016 ; Rise       ; SW[8]                           ;
;  HEX0[1]       ; SW[8]                           ; 4.984 ; 4.984 ; Rise       ; SW[8]                           ;
;  HEX0[2]       ; SW[8]                           ; 5.110 ; 5.110 ; Rise       ; SW[8]                           ;
;  HEX0[3]       ; SW[8]                           ; 5.177 ; 5.177 ; Rise       ; SW[8]                           ;
;  HEX0[4]       ; SW[8]                           ; 5.148 ; 5.148 ; Rise       ; SW[8]                           ;
;  HEX0[5]       ; SW[8]                           ; 5.201 ; 5.201 ; Rise       ; SW[8]                           ;
;  HEX0[6]       ; SW[8]                           ; 5.130 ; 5.130 ; Rise       ; SW[8]                           ;
; HEX1[*]        ; SW[8]                           ; 5.115 ; 5.115 ; Rise       ; SW[8]                           ;
;  HEX1[0]       ; SW[8]                           ; 5.263 ; 5.263 ; Rise       ; SW[8]                           ;
;  HEX1[1]       ; SW[8]                           ; 5.115 ; 5.115 ; Rise       ; SW[8]                           ;
;  HEX1[2]       ; SW[8]                           ; 5.158 ; 5.158 ; Rise       ; SW[8]                           ;
;  HEX1[3]       ; SW[8]                           ; 5.166 ; 5.166 ; Rise       ; SW[8]                           ;
;  HEX1[4]       ; SW[8]                           ; 5.231 ; 5.231 ; Rise       ; SW[8]                           ;
;  HEX1[5]       ; SW[8]                           ; 5.152 ; 5.152 ; Rise       ; SW[8]                           ;
;  HEX1[6]       ; SW[8]                           ; 5.232 ; 5.232 ; Rise       ; SW[8]                           ;
; HEX2[*]        ; SW[8]                           ; 5.147 ; 5.147 ; Rise       ; SW[8]                           ;
;  HEX2[0]       ; SW[8]                           ; 5.179 ; 5.179 ; Rise       ; SW[8]                           ;
;  HEX2[1]       ; SW[8]                           ; 5.186 ; 5.186 ; Rise       ; SW[8]                           ;
;  HEX2[2]       ; SW[8]                           ; 5.226 ; 5.226 ; Rise       ; SW[8]                           ;
;  HEX2[3]       ; SW[8]                           ; 5.147 ; 5.147 ; Rise       ; SW[8]                           ;
;  HEX2[4]       ; SW[8]                           ; 5.329 ; 5.329 ; Rise       ; SW[8]                           ;
;  HEX2[5]       ; SW[8]                           ; 5.247 ; 5.247 ; Rise       ; SW[8]                           ;
;  HEX2[6]       ; SW[8]                           ; 5.297 ; 5.297 ; Rise       ; SW[8]                           ;
; HEX3[*]        ; SW[8]                           ; 4.959 ; 4.959 ; Rise       ; SW[8]                           ;
;  HEX3[0]       ; SW[8]                           ; 5.171 ; 5.171 ; Rise       ; SW[8]                           ;
;  HEX3[1]       ; SW[8]                           ; 5.422 ; 5.422 ; Rise       ; SW[8]                           ;
;  HEX3[2]       ; SW[8]                           ; 5.509 ; 5.509 ; Rise       ; SW[8]                           ;
;  HEX3[3]       ; SW[8]                           ; 5.083 ; 5.083 ; Rise       ; SW[8]                           ;
;  HEX3[4]       ; SW[8]                           ; 4.959 ; 4.959 ; Rise       ; SW[8]                           ;
;  HEX3[5]       ; SW[8]                           ; 5.131 ; 5.131 ; Rise       ; SW[8]                           ;
;  HEX3[6]       ; SW[8]                           ; 5.349 ; 5.349 ; Rise       ; SW[8]                           ;
; LEDG[*]        ; SW[8]                           ; 5.025 ; 5.025 ; Rise       ; SW[8]                           ;
;  LEDG[0]       ; SW[8]                           ; 6.304 ; 6.304 ; Rise       ; SW[8]                           ;
;  LEDG[1]       ; SW[8]                           ; 6.227 ; 6.227 ; Rise       ; SW[8]                           ;
;  LEDG[2]       ; SW[8]                           ; 5.149 ; 5.149 ; Rise       ; SW[8]                           ;
;  LEDG[3]       ; SW[8]                           ; 5.025 ; 5.025 ; Rise       ; SW[8]                           ;
;  LEDG[4]       ; SW[8]                           ; 5.161 ; 5.161 ; Rise       ; SW[8]                           ;
;  LEDG[5]       ; SW[8]                           ; 5.177 ; 5.177 ; Rise       ; SW[8]                           ;
;  LEDG[6]       ; SW[8]                           ; 5.049 ; 5.049 ; Rise       ; SW[8]                           ;
;  LEDG[7]       ; SW[8]                           ; 6.578 ; 6.578 ; Rise       ; SW[8]                           ;
; LEDR[*]        ; SW[8]                           ; 3.209 ; 3.209 ; Rise       ; SW[8]                           ;
;  LEDR[0]       ; SW[8]                           ; 5.189 ; 5.189 ; Rise       ; SW[8]                           ;
;  LEDR[1]       ; SW[8]                           ; 5.144 ; 5.144 ; Rise       ; SW[8]                           ;
;  LEDR[2]       ; SW[8]                           ; 5.157 ; 5.157 ; Rise       ; SW[8]                           ;
;  LEDR[3]       ; SW[8]                           ; 5.172 ; 5.172 ; Rise       ; SW[8]                           ;
;  LEDR[4]       ; SW[8]                           ; 5.272 ; 5.272 ; Rise       ; SW[8]                           ;
;  LEDR[5]       ; SW[8]                           ; 5.193 ; 5.193 ; Rise       ; SW[8]                           ;
;  LEDR[6]       ; SW[8]                           ; 5.180 ; 5.180 ; Rise       ; SW[8]                           ;
;  LEDR[7]       ; SW[8]                           ; 5.299 ; 5.299 ; Rise       ; SW[8]                           ;
;  LEDR[8]       ; SW[8]                           ; 3.209 ; 3.209 ; Rise       ; SW[8]                           ;
; SRAM_ADDR[*]   ; SW[8]                           ; 5.478 ; 5.478 ; Rise       ; SW[8]                           ;
;  SRAM_ADDR[0]  ; SW[8]                           ; 5.693 ; 5.693 ; Rise       ; SW[8]                           ;
;  SRAM_ADDR[1]  ; SW[8]                           ; 5.940 ; 5.940 ; Rise       ; SW[8]                           ;
;  SRAM_ADDR[2]  ; SW[8]                           ; 5.935 ; 5.935 ; Rise       ; SW[8]                           ;
;  SRAM_ADDR[3]  ; SW[8]                           ; 6.038 ; 6.038 ; Rise       ; SW[8]                           ;
;  SRAM_ADDR[4]  ; SW[8]                           ; 5.887 ; 5.887 ; Rise       ; SW[8]                           ;
;  SRAM_ADDR[5]  ; SW[8]                           ; 5.826 ; 5.826 ; Rise       ; SW[8]                           ;
;  SRAM_ADDR[6]  ; SW[8]                           ; 5.897 ; 5.897 ; Rise       ; SW[8]                           ;
;  SRAM_ADDR[7]  ; SW[8]                           ; 6.102 ; 6.102 ; Rise       ; SW[8]                           ;
;  SRAM_ADDR[8]  ; SW[8]                           ; 5.478 ; 5.478 ; Rise       ; SW[8]                           ;
;  SRAM_ADDR[9]  ; SW[8]                           ; 5.575 ; 5.575 ; Rise       ; SW[8]                           ;
;  SRAM_ADDR[10] ; SW[8]                           ; 5.729 ; 5.729 ; Rise       ; SW[8]                           ;
;  SRAM_ADDR[11] ; SW[8]                           ; 5.641 ; 5.641 ; Rise       ; SW[8]                           ;
;  SRAM_ADDR[12] ; SW[8]                           ; 6.054 ; 6.054 ; Rise       ; SW[8]                           ;
;  SRAM_ADDR[13] ; SW[8]                           ; 6.296 ; 6.296 ; Rise       ; SW[8]                           ;
;  SRAM_ADDR[14] ; SW[8]                           ; 5.784 ; 5.784 ; Rise       ; SW[8]                           ;
;  SRAM_ADDR[15] ; SW[8]                           ; 6.633 ; 6.633 ; Rise       ; SW[8]                           ;
; SRAM_DQ[*]     ; SW[8]                           ; 5.778 ; 5.778 ; Rise       ; SW[8]                           ;
;  SRAM_DQ[0]    ; SW[8]                           ; 5.988 ; 5.988 ; Rise       ; SW[8]                           ;
;  SRAM_DQ[1]    ; SW[8]                           ; 5.823 ; 5.823 ; Rise       ; SW[8]                           ;
;  SRAM_DQ[2]    ; SW[8]                           ; 5.994 ; 5.994 ; Rise       ; SW[8]                           ;
;  SRAM_DQ[3]    ; SW[8]                           ; 5.778 ; 5.778 ; Rise       ; SW[8]                           ;
;  SRAM_DQ[4]    ; SW[8]                           ; 5.880 ; 5.880 ; Rise       ; SW[8]                           ;
;  SRAM_DQ[5]    ; SW[8]                           ; 6.420 ; 6.420 ; Rise       ; SW[8]                           ;
;  SRAM_DQ[6]    ; SW[8]                           ; 5.905 ; 5.905 ; Rise       ; SW[8]                           ;
;  SRAM_DQ[7]    ; SW[8]                           ; 6.296 ; 6.296 ; Rise       ; SW[8]                           ;
; SRAM_OE_N      ; SW[8]                           ; 6.555 ; 6.555 ; Rise       ; SW[8]                           ;
; SRAM_WE_N      ; SW[8]                           ; 6.329 ; 6.329 ; Rise       ; SW[8]                           ;
; LEDR[*]        ; SW[8]                           ; 3.209 ; 3.209 ; Fall       ; SW[8]                           ;
;  LEDR[8]       ; SW[8]                           ; 3.209 ; 3.209 ; Fall       ; SW[8]                           ;
; VGA_B[*]       ; clk_div:clkdiv_inst|clock_25MHz ; 3.881 ; 3.881 ; Rise       ; clk_div:clkdiv_inst|clock_25MHz ;
;  VGA_B[0]      ; clk_div:clkdiv_inst|clock_25MHz ; 4.049 ; 4.049 ; Rise       ; clk_div:clkdiv_inst|clock_25MHz ;
;  VGA_B[1]      ; clk_div:clkdiv_inst|clock_25MHz ; 3.902 ; 3.902 ; Rise       ; clk_div:clkdiv_inst|clock_25MHz ;
;  VGA_B[2]      ; clk_div:clkdiv_inst|clock_25MHz ; 4.012 ; 4.012 ; Rise       ; clk_div:clkdiv_inst|clock_25MHz ;
;  VGA_B[3]      ; clk_div:clkdiv_inst|clock_25MHz ; 3.881 ; 3.881 ; Rise       ; clk_div:clkdiv_inst|clock_25MHz ;
; VGA_HS         ; clk_div:clkdiv_inst|clock_25MHz ; 4.148 ; 4.148 ; Rise       ; clk_div:clkdiv_inst|clock_25MHz ;
; VGA_VS         ; clk_div:clkdiv_inst|clock_25MHz ; 3.690 ; 3.690 ; Rise       ; clk_div:clkdiv_inst|clock_25MHz ;
+----------------+---------------------------------+-------+-------+------------+---------------------------------+


+----------------------------------------------------+
; Progagation Delay                                  ;
+------------+-------------+-------+----+----+-------+
; Input Port ; Output Port ; RR    ; RF ; FR ; FF    ;
+------------+-------------+-------+----+----+-------+
; SW[9]      ; LEDR[9]     ; 6.189 ;    ;    ; 6.189 ;
+------------+-------------+-------+----+----+-------+


+----------------------------------------------------+
; Minimum Progagation Delay                          ;
+------------+-------------+-------+----+----+-------+
; Input Port ; Output Port ; RR    ; RF ; FR ; FF    ;
+------------+-------------+-------+----+----+-------+
; SW[9]      ; LEDR[9]     ; 2.988 ;    ;    ; 2.988 ;
+------------+-------------+-------+----+----+-------+


+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Setup Transfers                                                                                                                                                       ;
+-------------------------------------------------------------+-------------------------------------------------------------+----------+----------+----------+----------+
; From Clock                                                  ; To Clock                                                    ; RR Paths ; FR Paths ; RF Paths ; FF Paths ;
+-------------------------------------------------------------+-------------------------------------------------------------+----------+----------+----------+----------+
; clk_div:clkdiv_inst|clock_1Khz_int                          ; clk_div:clkdiv_inst|clock_1Khz_int                          ; 12       ; 0        ; 0        ; 0        ;
; clk_div:clkdiv_inst|clock_1Mhz_int                          ; clk_div:clkdiv_inst|clock_1Mhz_int                          ; 11       ; 0        ; 0        ; 0        ;
; clk_div:clkdiv_inst|clock_100Khz_int                        ; clk_div:clkdiv_inst|clock_1Mhz_int                          ; 1        ; 1        ; 0        ; 0        ;
; clk_div:clkdiv_inst|clock_1Khz_int                          ; clk_div:clkdiv_inst|clock_10Khz_int                         ; 1        ; 1        ; 0        ; 0        ;
; clk_div:clkdiv_inst|clock_10Khz_int                         ; clk_div:clkdiv_inst|clock_10Khz_int                         ; 11       ; 0        ; 0        ; 0        ;
; clk_div:clkdiv_inst|clock_25MHz                             ; clk_div:clkdiv_inst|clock_25MHz                             ; 11576    ; 0        ; 0        ; 0        ;
; SW[8]                                                       ; clk_div:clkdiv_inst|clock_25MHz                             ; 1154     ; 0        ; 0        ; 0        ;
; clk_div:clkdiv_inst|clock_25Mhz_int                         ; clk_div:clkdiv_inst|clock_25Mhz_int                         ; 28       ; 0        ; 0        ; 0        ;
; clk_div:clkdiv_inst|clock_100Hz                             ; clk_div:clkdiv_inst|clock_100Hz                             ; 2        ; 0        ; 0        ; 0        ;
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; clk_div:clkdiv_inst|clock_100Hz                             ; 16       ; 0        ; 0        ; 0        ;
; clk_div:clkdiv_inst|clock_10Khz_int                         ; clk_div:clkdiv_inst|clock_100Khz_int                        ; 1        ; 1        ; 0        ; 0        ;
; clk_div:clkdiv_inst|clock_100Khz_int                        ; clk_div:clkdiv_inst|clock_100Khz_int                        ; 11       ; 0        ; 0        ; 0        ;
; clk_div:clkdiv_inst|clock_1Khz_int                          ; CLOCK_50                                                    ; 1        ; 0        ; 0        ; 0        ;
; clk_div:clkdiv_inst|clock_25Mhz_int                         ; CLOCK_50                                                    ; 2        ; 2        ; 0        ; 0        ;
; clk_div:clkdiv_inst|clock_100Hz                             ; CLOCK_50                                                    ; 390      ; 0        ; 0        ; 0        ;
; CLOCK_50                                                    ; CLOCK_50                                                    ; 92       ; 0        ; 0        ; 0        ;
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50                                                    ; 3428     ; 3        ; 0        ; 0        ;
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set             ; CLOCK_50                                                    ; 9        ; 0        ; 0        ; 0        ;
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 141      ; 0        ; 0        ; 0        ;
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set             ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1        ; 1        ; 0        ; 0        ;
; clk_div:clkdiv_inst|clock_25MHz                             ; SW[8]                                                       ; 112      ; 0        ; 0        ; 0        ;
; CLOCK_50                                                    ; SW[8]                                                       ; 40       ; 0        ; 0        ; 0        ;
; SW[8]                                                       ; SW[8]                                                       ; 5262121  ; 0        ; 0        ; 0        ;
+-------------------------------------------------------------+-------------------------------------------------------------+----------+----------+----------+----------+
Entries labeled "false path" only account for clock-to-clock false paths and not path-based false paths. As a result, actual path counts may be lower than reported.


+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Hold Transfers                                                                                                                                                        ;
+-------------------------------------------------------------+-------------------------------------------------------------+----------+----------+----------+----------+
; From Clock                                                  ; To Clock                                                    ; RR Paths ; FR Paths ; RF Paths ; FF Paths ;
+-------------------------------------------------------------+-------------------------------------------------------------+----------+----------+----------+----------+
; clk_div:clkdiv_inst|clock_1Khz_int                          ; clk_div:clkdiv_inst|clock_1Khz_int                          ; 12       ; 0        ; 0        ; 0        ;
; clk_div:clkdiv_inst|clock_1Mhz_int                          ; clk_div:clkdiv_inst|clock_1Mhz_int                          ; 11       ; 0        ; 0        ; 0        ;
; clk_div:clkdiv_inst|clock_100Khz_int                        ; clk_div:clkdiv_inst|clock_1Mhz_int                          ; 1        ; 1        ; 0        ; 0        ;
; clk_div:clkdiv_inst|clock_1Khz_int                          ; clk_div:clkdiv_inst|clock_10Khz_int                         ; 1        ; 1        ; 0        ; 0        ;
; clk_div:clkdiv_inst|clock_10Khz_int                         ; clk_div:clkdiv_inst|clock_10Khz_int                         ; 11       ; 0        ; 0        ; 0        ;
; clk_div:clkdiv_inst|clock_25MHz                             ; clk_div:clkdiv_inst|clock_25MHz                             ; 11576    ; 0        ; 0        ; 0        ;
; SW[8]                                                       ; clk_div:clkdiv_inst|clock_25MHz                             ; 1154     ; 0        ; 0        ; 0        ;
; clk_div:clkdiv_inst|clock_25Mhz_int                         ; clk_div:clkdiv_inst|clock_25Mhz_int                         ; 28       ; 0        ; 0        ; 0        ;
; clk_div:clkdiv_inst|clock_100Hz                             ; clk_div:clkdiv_inst|clock_100Hz                             ; 2        ; 0        ; 0        ; 0        ;
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; clk_div:clkdiv_inst|clock_100Hz                             ; 16       ; 0        ; 0        ; 0        ;
; clk_div:clkdiv_inst|clock_10Khz_int                         ; clk_div:clkdiv_inst|clock_100Khz_int                        ; 1        ; 1        ; 0        ; 0        ;
; clk_div:clkdiv_inst|clock_100Khz_int                        ; clk_div:clkdiv_inst|clock_100Khz_int                        ; 11       ; 0        ; 0        ; 0        ;
; clk_div:clkdiv_inst|clock_1Khz_int                          ; CLOCK_50                                                    ; 1        ; 0        ; 0        ; 0        ;
; clk_div:clkdiv_inst|clock_25Mhz_int                         ; CLOCK_50                                                    ; 2        ; 2        ; 0        ; 0        ;
; clk_div:clkdiv_inst|clock_100Hz                             ; CLOCK_50                                                    ; 390      ; 0        ; 0        ; 0        ;
; CLOCK_50                                                    ; CLOCK_50                                                    ; 92       ; 0        ; 0        ; 0        ;
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50                                                    ; 3428     ; 3        ; 0        ; 0        ;
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set             ; CLOCK_50                                                    ; 9        ; 0        ; 0        ; 0        ;
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 141      ; 0        ; 0        ; 0        ;
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set             ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1        ; 1        ; 0        ; 0        ;
; clk_div:clkdiv_inst|clock_25MHz                             ; SW[8]                                                       ; 112      ; 0        ; 0        ; 0        ;
; CLOCK_50                                                    ; SW[8]                                                       ; 40       ; 0        ; 0        ; 0        ;
; SW[8]                                                       ; SW[8]                                                       ; 5262121  ; 0        ; 0        ; 0        ;
+-------------------------------------------------------------+-------------------------------------------------------------+----------+----------+----------+----------+
Entries labeled "false path" only account for clock-to-clock false paths and not path-based false paths. As a result, actual path counts may be lower than reported.


+----------------------------------------------------------------------------------------------------------+
; Recovery Transfers                                                                                       ;
+------------+-------------------------------------------------+----------+----------+----------+----------+
; From Clock ; To Clock                                        ; RR Paths ; FR Paths ; RF Paths ; FF Paths ;
+------------+-------------------------------------------------+----------+----------+----------+----------+
; CLOCK_50   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set ; 1        ; 0        ; 0        ; 0        ;
+------------+-------------------------------------------------+----------+----------+----------+----------+
Entries labeled "false path" only account for clock-to-clock false paths and not path-based false paths. As a result, actual path counts may be lower than reported.


+----------------------------------------------------------------------------------------------------------+
; Removal Transfers                                                                                        ;
+------------+-------------------------------------------------+----------+----------+----------+----------+
; From Clock ; To Clock                                        ; RR Paths ; FR Paths ; RF Paths ; FF Paths ;
+------------+-------------------------------------------------+----------+----------+----------+----------+
; CLOCK_50   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set ; 1        ; 0        ; 0        ; 0        ;
+------------+-------------------------------------------------+----------+----------+----------+----------+
Entries labeled "false path" only account for clock-to-clock false paths and not path-based false paths. As a result, actual path counts may be lower than reported.


---------------
; Report TCCS ;
---------------
No dedicated SERDES Transmitter circuitry present in device or used in design


---------------
; Report RSKM ;
---------------
No dedicated SERDES Receiver circuitry present in device or used in design


+------------------------------------------------+
; Unconstrained Paths                            ;
+---------------------------------+-------+------+
; Property                        ; Setup ; Hold ;
+---------------------------------+-------+------+
; Illegal Clocks                  ; 0     ; 0    ;
; Unconstrained Clocks            ; 0     ; 0    ;
; Unconstrained Input Ports       ; 24    ; 24   ;
; Unconstrained Input Port Paths  ; 244   ; 244  ;
; Unconstrained Output Ports      ; 78    ; 78   ;
; Unconstrained Output Port Paths ; 201   ; 201  ;
+---------------------------------+-------+------+


+------------------------------------+
; TimeQuest Timing Analyzer Messages ;
+------------------------------------+
Info: *******************************************************************
Info: Running Quartus II 64-Bit TimeQuest Timing Analyzer
    Info: Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition
    Info: Processing started: Sun Jun 19 14:43:13 2016
Info: Command: quartus_sta z80soc -c z80soc
Info: qsta_default_script.tcl version: #1
Warning (20028): Parallel compilation is not licensed and has been disabled
Critical Warning (332012): Synopsys Design Constraints File file not found: 'z80soc.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design.
Info (332142): No user constrained base clocks found in the design. Calling "derive_clocks -period 1.0"
Info (332105): Deriving Clocks
    Info (332105): create_clock -period 1.000 -name SW[8] SW[8]
    Info (332105): create_clock -period 1.000 -name clk_div:clkdiv_inst|clock_25MHz clk_div:clkdiv_inst|clock_25MHz
    Info (332105): create_clock -period 1.000 -name CLOCK_50 CLOCK_50
    Info (332105): create_clock -period 1.000 -name ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered
    Info (332105): create_clock -period 1.000 -name clk_div:clkdiv_inst|clock_100Hz clk_div:clkdiv_inst|clock_100Hz
    Info (332105): create_clock -period 1.000 -name clk_div:clkdiv_inst|clock_1Khz_int clk_div:clkdiv_inst|clock_1Khz_int
    Info (332105): create_clock -period 1.000 -name clk_div:clkdiv_inst|clock_10Khz_int clk_div:clkdiv_inst|clock_10Khz_int
    Info (332105): create_clock -period 1.000 -name clk_div:clkdiv_inst|clock_100Khz_int clk_div:clkdiv_inst|clock_100Khz_int
    Info (332105): create_clock -period 1.000 -name clk_div:clkdiv_inst|clock_1Mhz_int clk_div:clkdiv_inst|clock_1Mhz_int
    Info (332105): create_clock -period 1.000 -name clk_div:clkdiv_inst|clock_25Mhz_int clk_div:clkdiv_inst|clock_25Mhz_int
    Info (332105): create_clock -period 1.000 -name ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set
Info (332097): The following timing edges are non-unate.  TimeQuest will assume pos-unate behavior for these edges in the clock network.
    Info (332098): Cell: Clk_Z80  from: datab  to: combout
Info: Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON
Info: Analyzing Slow Model
Critical Warning (332148): Timing requirements not met
Info (332146): Worst-case setup slack is -15.385
    Info (332119):     Slack End Point TNS Clock 
    Info (332119): ========= ============= =====================
    Info (332119):   -15.385     -5094.644 SW[8] 
    Info (332119):    -6.834     -3515.540 clk_div:clkdiv_inst|clock_25MHz 
    Info (332119):    -5.869       -63.649 CLOCK_50 
    Info (332119):    -2.525        -5.050 clk_div:clkdiv_inst|clock_100Hz 
    Info (332119):    -2.466       -45.668 ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered 
    Info (332119):    -0.955        -5.012 clk_div:clkdiv_inst|clock_25Mhz_int 
    Info (332119):    -0.435        -0.882 clk_div:clkdiv_inst|clock_1Khz_int 
    Info (332119):    -0.425        -0.836 clk_div:clkdiv_inst|clock_1Mhz_int 
    Info (332119):    -0.267        -0.713 clk_div:clkdiv_inst|clock_100Khz_int 
    Info (332119):    -0.267        -0.713 clk_div:clkdiv_inst|clock_10Khz_int 
Info (332146): Worst-case hold slack is -2.703
    Info (332119):     Slack End Point TNS Clock 
    Info (332119): ========= ============= =====================
    Info (332119):    -2.703        -7.867 CLOCK_50 
    Info (332119):    -2.302        -2.302 clk_div:clkdiv_inst|clock_10Khz_int 
    Info (332119):    -2.263        -2.263 clk_div:clkdiv_inst|clock_1Mhz_int 
    Info (332119):    -2.255        -2.255 ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered 
    Info (332119):    -2.162       -24.476 SW[8] 
    Info (332119):    -2.129        -2.129 clk_div:clkdiv_inst|clock_100Khz_int 
    Info (332119):     0.445         0.000 clk_div:clkdiv_inst|clock_1Khz_int 
    Info (332119):     0.445         0.000 clk_div:clkdiv_inst|clock_25MHz 
    Info (332119):     0.617         0.000 clk_div:clkdiv_inst|clock_100Hz 
    Info (332119):     0.640         0.000 clk_div:clkdiv_inst|clock_25Mhz_int 
Info (332146): Worst-case recovery slack is -2.017
    Info (332119):     Slack End Point TNS Clock 
    Info (332119): ========= ============= =====================
    Info (332119):    -2.017        -2.017 ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set 
Info (332146): Worst-case removal slack is 2.769
    Info (332119):     Slack End Point TNS Clock 
    Info (332119): ========= ============= =====================
    Info (332119):     2.769         0.000 ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set 
Info (332146): Worst-case minimum pulse width slack is -2.064
    Info (332119):     Slack End Point TNS Clock 
    Info (332119): ========= ============= =====================
    Info (332119):    -2.064     -2334.788 clk_div:clkdiv_inst|clock_25MHz 
    Info (332119):    -2.064     -1495.845 SW[8] 
    Info (332119):    -1.631       -60.287 CLOCK_50 
    Info (332119):    -0.611       -28.106 ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered 
    Info (332119):    -0.611        -7.332 clk_div:clkdiv_inst|clock_25Mhz_int 
    Info (332119):    -0.611        -4.888 clk_div:clkdiv_inst|clock_100Khz_int 
    Info (332119):    -0.611        -4.888 clk_div:clkdiv_inst|clock_10Khz_int 
    Info (332119):    -0.611        -4.888 clk_div:clkdiv_inst|clock_1Khz_int 
    Info (332119):    -0.611        -4.888 clk_div:clkdiv_inst|clock_1Mhz_int 
    Info (332119):    -0.611        -2.444 clk_div:clkdiv_inst|clock_100Hz 
    Info (332119):    -0.611        -1.222 ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set 
Info (332001): The selected device family is not supported by the report_metastability command.
Info: Analyzing Fast Model
Info (332097): The following timing edges are non-unate.  TimeQuest will assume pos-unate behavior for these edges in the clock network.
    Info (332098): Cell: Clk_Z80  from: datab  to: combout
Critical Warning (332148): Timing requirements not met
Info (332146): Worst-case setup slack is -5.167
    Info (332119):     Slack End Point TNS Clock 
    Info (332119): ========= ============= =====================
    Info (332119):    -5.167     -1615.123 SW[8] 
    Info (332119):    -2.493     -1123.028 clk_div:clkdiv_inst|clock_25MHz 
    Info (332119):    -1.492       -12.632 CLOCK_50 
    Info (332119):    -0.443        -0.886 clk_div:clkdiv_inst|clock_100Hz 
    Info (332119):    -0.411        -5.280 ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered 
    Info (332119):     0.157         0.000 clk_div:clkdiv_inst|clock_25Mhz_int 
    Info (332119):     0.439         0.000 clk_div:clkdiv_inst|clock_1Khz_int 
    Info (332119):     0.444         0.000 clk_div:clkdiv_inst|clock_1Mhz_int 
    Info (332119):     0.505         0.000 clk_div:clkdiv_inst|clock_100Khz_int 
    Info (332119):     0.505         0.000 clk_div:clkdiv_inst|clock_10Khz_int 
Info (332146): Worst-case hold slack is -1.730
    Info (332119):     Slack End Point TNS Clock 
    Info (332119): ========= ============= =====================
    Info (332119):    -1.730        -5.451 CLOCK_50 
    Info (332119):    -1.457        -1.457 clk_div:clkdiv_inst|clock_10Khz_int 
    Info (332119):    -1.424        -1.424 clk_div:clkdiv_inst|clock_1Mhz_int 
    Info (332119):    -1.414        -1.414 ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered 
    Info (332119):    -1.408        -1.408 clk_div:clkdiv_inst|clock_100Khz_int 
    Info (332119):    -0.871       -10.421 SW[8] 
    Info (332119):     0.215         0.000 clk_div:clkdiv_inst|clock_1Khz_int 
    Info (332119):     0.215         0.000 clk_div:clkdiv_inst|clock_25MHz 
    Info (332119):     0.242         0.000 clk_div:clkdiv_inst|clock_100Hz 
    Info (332119):     0.251         0.000 clk_div:clkdiv_inst|clock_25Mhz_int 
Info (332146): Worst-case recovery slack is -0.895
    Info (332119):     Slack End Point TNS Clock 
    Info (332119): ========= ============= =====================
    Info (332119):    -0.895        -0.895 ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set 
Info (332146): Worst-case removal slack is 1.775
    Info (332119):     Slack End Point TNS Clock 
    Info (332119): ========= ============= =====================
    Info (332119):     1.775         0.000 ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set 
Info (332146): Worst-case minimum pulse width slack is -1.880
    Info (332119):     Slack End Point TNS Clock 
    Info (332119): ========= ============= =====================
    Info (332119):    -1.880     -1929.776 clk_div:clkdiv_inst|clock_25MHz 
    Info (332119):    -1.880     -1318.860 SW[8] 
    Info (332119):    -1.380       -49.380 CLOCK_50 
    Info (332119):    -0.500       -23.000 ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered 
    Info (332119):    -0.500        -6.000 clk_div:clkdiv_inst|clock_25Mhz_int 
    Info (332119):    -0.500        -4.000 clk_div:clkdiv_inst|clock_100Khz_int 
    Info (332119):    -0.500        -4.000 clk_div:clkdiv_inst|clock_10Khz_int 
    Info (332119):    -0.500        -4.000 clk_div:clkdiv_inst|clock_1Khz_int 
    Info (332119):    -0.500        -4.000 clk_div:clkdiv_inst|clock_1Mhz_int 
    Info (332119):    -0.500        -2.000 clk_div:clkdiv_inst|clock_100Hz 
    Info (332119):    -0.500        -1.000 ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set 
Info (332001): The selected device family is not supported by the report_metastability command.
Info (332102): Design is not fully constrained for setup requirements
Info (332102): Design is not fully constrained for hold requirements
Info: Quartus II 64-Bit TimeQuest Timing Analyzer was successful. 0 errors, 4 warnings
    Info: Peak virtual memory: 438 megabytes
    Info: Processing ended: Sun Jun 19 14:43:22 2016
    Info: Elapsed time: 00:00:09
    Info: Total CPU time (on all processors): 00:00:07


Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.