OpenCores
URL https://opencores.org/ocsvn/z80soc/z80soc/trunk

Subversion Repositories z80soc

[/] [z80soc/] [trunk/] [V0.7.3/] [DE2115/] [073DE2115e.fit.rpt] - Rev 46

Compare with Previous | Blame | View Log

Fitter report for 073DE2115e
Sun Jun 19 13:44:48 2016
Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition


---------------------
; Table of Contents ;
---------------------
  1. Legal Notice
  2. Fitter Summary
  3. Fitter Settings
  4. Parallel Compilation
  5. I/O Assignment Warnings
  6. Ignored Assignments
  7. Incremental Compilation Preservation Summary
  8. Incremental Compilation Partition Settings
  9. Incremental Compilation Placement Preservation
 10. Pin-Out File
 11. Fitter Resource Usage Summary
 12. Fitter Partition Statistics
 13. Input Pins
 14. Output Pins
 15. Bidir Pins
 16. Dual Purpose and Dedicated Pins
 17. I/O Bank Usage
 18. All Package Pins
 19. Fitter Resource Utilization by Entity
 20. Delay Chain Summary
 21. Pad To Core Delay Chain Fanout
 22. Control Signals
 23. Global & Other Fast Signals
 24. Non-Global High Fan-Out Signals
 25. Fitter RAM Summary
 26. |Z80SOC|charram:cram|altsyncram:altsyncram_component|altsyncram_l4o1:auto_generated|ALTSYNCRAM
 27. |Z80SOC|rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ALTSYNCRAM
 28. Other Routing Usage Summary
 29. LAB Logic Elements
 30. LAB-wide Signals
 31. LAB Signals Sourced
 32. LAB Signals Sourced Out
 33. LAB Distinct Inputs
 34. I/O Rules Summary
 35. I/O Rules Details
 36. I/O Rules Matrix
 37. Fitter Device Options
 38. Operating Settings and Conditions
 39. Estimated Delay Added for Hold Timing Summary
 40. Estimated Delay Added for Hold Timing Details
 41. Fitter Messages
 42. Fitter Suppressed Messages



----------------
; Legal Notice ;
----------------
Copyright (C) 1991-2013 Altera Corporation
Your use of Altera Corporation's design tools, logic functions 
and other software and tools, and its AMPP partner logic 
functions, and any output files from any of the foregoing 
(including device programming or simulation files), and any 
associated documentation or information are expressly subject 
to the terms and conditions of the Altera Program License 
Subscription Agreement, Altera MegaCore Function License 
Agreement, or other applicable license agreement, including, 
without limitation, that your use is for the sole purpose of 
programming logic devices manufactured by Altera and sold by 
Altera or its authorized distributors.  Please refer to the 
applicable agreement for further details.



+--------------------------------------------------------------------------------------+
; Fitter Summary                                                                       ;
+------------------------------------+-------------------------------------------------+
; Fitter Status                      ; Successful - Sun Jun 19 13:44:47 2016           ;
; Quartus II 64-Bit Version          ; 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition ;
; Revision Name                      ; 073DE2115e                                      ;
; Top-level Entity Name              ; Z80SOC                                          ;
; Family                             ; Cyclone IV E                                    ;
; Device                             ; EP4CE115F29C7                                   ;
; Timing Models                      ; Final                                           ;
; Total logic elements               ; 3,187 / 114,480 ( 3 % )                         ;
;     Total combinational functions  ; 3,098 / 114,480 ( 3 % )                         ;
;     Dedicated logic registers      ; 625 / 114,480 ( < 1 % )                         ;
; Total registers                    ; 625                                             ;
; Total pins                         ; 303 / 529 ( 57 % )                              ;
; Total virtual pins                 ; 0                                               ;
; Total memory bits                  ; 196,600 / 3,981,312 ( 5 % )                     ;
; Embedded Multiplier 9-bit elements ; 0 / 532 ( 0 % )                                 ;
; Total PLLs                         ; 0 / 4 ( 0 % )                                   ;
+------------------------------------+-------------------------------------------------+


+------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Fitter Settings                                                                                                                                            ;
+----------------------------------------------------------------------------+---------------------------------------+---------------------------------------+
; Option                                                                     ; Setting                               ; Default Value                         ;
+----------------------------------------------------------------------------+---------------------------------------+---------------------------------------+
; Device                                                                     ; EP4CE115F29C7                         ;                                       ;
; Use smart compilation                                                      ; On                                    ; Off                                   ;
; Minimum Core Junction Temperature                                          ; 0                                     ;                                       ;
; Maximum Core Junction Temperature                                          ; 85                                    ;                                       ;
; Fit Attempts to Skip                                                       ; 0                                     ; 0.0                                   ;
; Device I/O Standard                                                        ; 3.3-V LVTTL                           ;                                       ;
; Fitter Effort                                                              ; Fast Fit                              ; Auto Fit                              ;
; Enable parallel Assembler and TimeQuest Timing Analyzer during compilation ; On                                    ; On                                    ;
; Enable compact report table                                                ; Off                                   ; Off                                   ;
; Auto Merge PLLs                                                            ; On                                    ; On                                    ;
; Router Timing Optimization Level                                           ; Normal                                ; Normal                                ;
; Perform Clocking Topology Analysis During Routing                          ; Off                                   ; Off                                   ;
; Placement Effort Multiplier                                                ; 1.0                                   ; 1.0                                   ;
; Router Effort Multiplier                                                   ; 1.0                                   ; 1.0                                   ;
; Optimize Hold Timing                                                       ; All Paths                             ; All Paths                             ;
; Optimize Multi-Corner Timing                                               ; On                                    ; On                                    ;
; PowerPlay Power Optimization                                               ; Normal compilation                    ; Normal compilation                    ;
; SSN Optimization                                                           ; Off                                   ; Off                                   ;
; Optimize Timing                                                            ; Normal compilation                    ; Normal compilation                    ;
; Optimize Timing for ECOs                                                   ; Off                                   ; Off                                   ;
; Regenerate full fit report during ECO compiles                             ; Off                                   ; Off                                   ;
; Optimize IOC Register Placement for Timing                                 ; Normal                                ; Normal                                ;
; Limit to One Fitting Attempt                                               ; Off                                   ; Off                                   ;
; Final Placement Optimizations                                              ; Automatically                         ; Automatically                         ;
; Fitter Aggressive Routability Optimizations                                ; Automatically                         ; Automatically                         ;
; Fitter Initial Placement Seed                                              ; 1                                     ; 1                                     ;
; PCI I/O                                                                    ; Off                                   ; Off                                   ;
; Weak Pull-Up Resistor                                                      ; Off                                   ; Off                                   ;
; Enable Bus-Hold Circuitry                                                  ; Off                                   ; Off                                   ;
; Auto Packed Registers                                                      ; Auto                                  ; Auto                                  ;
; Auto Delay Chains                                                          ; On                                    ; On                                    ;
; Auto Delay Chains for High Fanout Input Pins                               ; Off                                   ; Off                                   ;
; Allow Single-ended Buffer for Differential-XSTL Input                      ; Off                                   ; Off                                   ;
; Treat Bidirectional Pin as Output Pin                                      ; Off                                   ; Off                                   ;
; Perform Physical Synthesis for Combinational Logic for Fitting             ; Off                                   ; Off                                   ;
; Perform Physical Synthesis for Combinational Logic for Performance         ; Off                                   ; Off                                   ;
; Perform Register Duplication for Performance                               ; Off                                   ; Off                                   ;
; Perform Logic to Memory Mapping for Fitting                                ; Off                                   ; Off                                   ;
; Perform Register Retiming for Performance                                  ; Off                                   ; Off                                   ;
; Perform Asynchronous Signal Pipelining                                     ; Off                                   ; Off                                   ;
; Physical Synthesis Effort Level                                            ; Normal                                ; Normal                                ;
; Logic Cell Insertion - Logic Duplication                                   ; Auto                                  ; Auto                                  ;
; Auto Register Duplication                                                  ; Auto                                  ; Auto                                  ;
; Auto Global Clock                                                          ; On                                    ; On                                    ;
; Auto Global Register Control Signals                                       ; On                                    ; On                                    ;
; Reserve all unused pins                                                    ; As input tri-stated with weak pull-up ; As input tri-stated with weak pull-up ;
; Synchronizer Identification                                                ; Off                                   ; Off                                   ;
; Enable Beneficial Skew Optimization                                        ; On                                    ; On                                    ;
; Optimize Design for Metastability                                          ; On                                    ; On                                    ;
; Force Fitter to Avoid Periphery Placement Warnings                         ; Off                                   ; Off                                   ;
; Enable input tri-state on active configuration pins in user mode           ; Off                                   ; Off                                   ;
+----------------------------------------------------------------------------+---------------------------------------+---------------------------------------+


Parallel compilation was disabled, but you have multiple processors available. Enable parallel compilation to reduce compilation time.
+-------------------------------------+
; Parallel Compilation                ;
+----------------------------+--------+
; Processors                 ; Number ;
+----------------------------+--------+
; Number detected on machine ; 2      ;
; Maximum allowed            ; 1      ;
+----------------------------+--------+


+------------------------------------------------------+
; I/O Assignment Warnings                              ;
+---------------+--------------------------------------+
; Pin Name      ; Reason                               ;
+---------------+--------------------------------------+
; HEX0[0]       ; Missing drive strength and slew rate ;
; HEX0[1]       ; Missing drive strength and slew rate ;
; HEX0[2]       ; Missing drive strength and slew rate ;
; HEX0[3]       ; Missing drive strength               ;
; HEX0[4]       ; Missing drive strength               ;
; HEX0[5]       ; Missing drive strength               ;
; HEX0[6]       ; Missing drive strength               ;
; HEX1[0]       ; Missing drive strength               ;
; HEX1[1]       ; Missing drive strength               ;
; HEX1[2]       ; Missing drive strength               ;
; HEX1[3]       ; Missing drive strength               ;
; HEX1[4]       ; Missing drive strength               ;
; HEX1[5]       ; Missing drive strength               ;
; HEX1[6]       ; Missing drive strength               ;
; HEX2[0]       ; Missing drive strength               ;
; HEX2[1]       ; Missing drive strength               ;
; HEX2[2]       ; Missing drive strength               ;
; HEX2[3]       ; Missing drive strength               ;
; HEX2[4]       ; Missing drive strength               ;
; HEX2[5]       ; Missing drive strength               ;
; HEX2[6]       ; Missing drive strength               ;
; HEX3[0]       ; Missing drive strength               ;
; HEX3[1]       ; Missing drive strength               ;
; HEX3[2]       ; Missing drive strength               ;
; HEX3[3]       ; Missing drive strength               ;
; HEX3[4]       ; Missing drive strength               ;
; HEX3[5]       ; Missing drive strength               ;
; HEX3[6]       ; Missing drive strength               ;
; HEX4[0]       ; Missing drive strength               ;
; HEX4[1]       ; Missing drive strength               ;
; HEX4[2]       ; Missing drive strength               ;
; HEX4[3]       ; Missing drive strength               ;
; HEX4[4]       ; Missing drive strength               ;
; HEX4[5]       ; Missing drive strength               ;
; HEX4[6]       ; Missing drive strength               ;
; HEX5[0]       ; Missing drive strength               ;
; HEX5[1]       ; Missing drive strength               ;
; HEX5[2]       ; Missing drive strength               ;
; HEX5[3]       ; Missing drive strength               ;
; HEX5[4]       ; Missing drive strength               ;
; HEX5[5]       ; Missing drive strength               ;
; HEX5[6]       ; Missing drive strength               ;
; HEX6[0]       ; Missing drive strength               ;
; HEX6[1]       ; Missing drive strength               ;
; HEX6[2]       ; Missing drive strength               ;
; HEX6[3]       ; Missing drive strength               ;
; HEX6[4]       ; Missing drive strength               ;
; HEX6[5]       ; Missing drive strength               ;
; HEX6[6]       ; Missing drive strength               ;
; HEX7[0]       ; Missing drive strength               ;
; HEX7[1]       ; Missing drive strength               ;
; HEX7[2]       ; Missing drive strength               ;
; HEX7[3]       ; Missing drive strength               ;
; HEX7[4]       ; Missing drive strength               ;
; HEX7[5]       ; Missing drive strength               ;
; HEX7[6]       ; Missing drive strength               ;
; LEDG[0]       ; Missing drive strength and slew rate ;
; LEDG[1]       ; Missing drive strength and slew rate ;
; LEDG[2]       ; Missing drive strength and slew rate ;
; LEDG[3]       ; Missing drive strength and slew rate ;
; LEDG[4]       ; Missing drive strength and slew rate ;
; LEDG[5]       ; Missing drive strength and slew rate ;
; LEDG[6]       ; Missing drive strength and slew rate ;
; LEDG[7]       ; Missing drive strength and slew rate ;
; LEDG[8]       ; Missing drive strength and slew rate ;
; LEDR[0]       ; Missing drive strength and slew rate ;
; LEDR[1]       ; Missing drive strength and slew rate ;
; LEDR[2]       ; Missing drive strength and slew rate ;
; LEDR[3]       ; Missing drive strength and slew rate ;
; LEDR[4]       ; Missing drive strength and slew rate ;
; LEDR[5]       ; Missing drive strength and slew rate ;
; LEDR[6]       ; Missing drive strength and slew rate ;
; LEDR[7]       ; Missing drive strength and slew rate ;
; LEDR[8]       ; Missing drive strength and slew rate ;
; LEDR[9]       ; Missing drive strength and slew rate ;
; LEDR[10]      ; Missing drive strength and slew rate ;
; LEDR[11]      ; Missing drive strength and slew rate ;
; LEDR[12]      ; Missing drive strength and slew rate ;
; LEDR[13]      ; Missing drive strength and slew rate ;
; LEDR[14]      ; Missing drive strength and slew rate ;
; LEDR[15]      ; Missing drive strength and slew rate ;
; LEDR[16]      ; Missing drive strength and slew rate ;
; LEDR[17]      ; Missing drive strength and slew rate ;
; UART_TXD      ; Missing drive strength               ;
; DRAM_BA_0     ; Missing drive strength               ;
; DRAM_BA_1     ; Missing drive strength               ;
; DRAM_DQM_0    ; Missing drive strength               ;
; DRAM_DQM_1    ; Missing drive strength               ;
; DRAM_DQM_2    ; Missing drive strength               ;
; DRAM_DQM_3    ; Missing drive strength               ;
; DRAM_WE_N     ; Missing drive strength               ;
; DRAM_CAS_N    ; Missing drive strength               ;
; DRAM_RAS_N    ; Missing drive strength               ;
; DRAM_CS_N     ; Missing drive strength               ;
; DRAM_ADDR[0]  ; Missing drive strength               ;
; DRAM_ADDR[1]  ; Missing drive strength               ;
; DRAM_ADDR[2]  ; Missing drive strength               ;
; DRAM_ADDR[3]  ; Missing drive strength               ;
; DRAM_ADDR[4]  ; Missing drive strength               ;
; DRAM_ADDR[5]  ; Missing drive strength               ;
; DRAM_ADDR[6]  ; Missing drive strength               ;
; DRAM_ADDR[7]  ; Missing drive strength               ;
; DRAM_ADDR[8]  ; Missing drive strength               ;
; DRAM_ADDR[9]  ; Missing drive strength               ;
; DRAM_ADDR[10] ; Missing drive strength               ;
; DRAM_ADDR[11] ; Missing drive strength               ;
; DRAM_ADDR[12] ; Missing drive strength               ;
; DRAM_CLK      ; Missing drive strength               ;
; DRAM_CKE      ; Missing drive strength               ;
; FL_ADDR[0]    ; Missing drive strength               ;
; FL_ADDR[1]    ; Missing drive strength               ;
; FL_ADDR[2]    ; Missing drive strength               ;
; FL_ADDR[3]    ; Missing drive strength               ;
; FL_ADDR[4]    ; Missing drive strength               ;
; FL_ADDR[5]    ; Missing drive strength               ;
; FL_ADDR[6]    ; Missing drive strength               ;
; FL_ADDR[7]    ; Missing drive strength               ;
; FL_ADDR[8]    ; Missing drive strength               ;
; FL_ADDR[9]    ; Missing drive strength               ;
; FL_ADDR[10]   ; Missing drive strength               ;
; FL_ADDR[11]   ; Missing drive strength               ;
; FL_ADDR[12]   ; Missing drive strength               ;
; FL_ADDR[13]   ; Missing drive strength               ;
; FL_ADDR[14]   ; Missing drive strength               ;
; FL_ADDR[15]   ; Missing drive strength               ;
; FL_ADDR[16]   ; Missing drive strength               ;
; FL_ADDR[17]   ; Missing drive strength               ;
; FL_ADDR[18]   ; Missing drive strength               ;
; FL_ADDR[19]   ; Missing drive strength               ;
; FL_ADDR[20]   ; Missing drive strength               ;
; FL_ADDR[21]   ; Missing drive strength               ;
; FL_ADDR[22]   ; Missing drive strength               ;
; FL_WP_N       ; Missing drive strength               ;
; FL_WE_N       ; Missing drive strength               ;
; FL_RST_N      ; Missing drive strength               ;
; FL_OE_N       ; Missing drive strength               ;
; FL_CE_N       ; Missing drive strength               ;
; SRAM_ADDR[0]  ; Missing drive strength               ;
; SRAM_ADDR[1]  ; Missing drive strength               ;
; SRAM_ADDR[2]  ; Missing drive strength               ;
; SRAM_ADDR[3]  ; Missing drive strength               ;
; SRAM_ADDR[4]  ; Missing drive strength               ;
; SRAM_ADDR[5]  ; Missing drive strength               ;
; SRAM_ADDR[6]  ; Missing drive strength               ;
; SRAM_ADDR[7]  ; Missing drive strength               ;
; SRAM_ADDR[8]  ; Missing drive strength               ;
; SRAM_ADDR[9]  ; Missing drive strength               ;
; SRAM_ADDR[10] ; Missing drive strength               ;
; SRAM_ADDR[11] ; Missing drive strength               ;
; SRAM_ADDR[12] ; Missing drive strength               ;
; SRAM_ADDR[13] ; Missing drive strength               ;
; SRAM_ADDR[14] ; Missing drive strength               ;
; SRAM_ADDR[15] ; Missing drive strength               ;
; SRAM_ADDR[16] ; Missing drive strength               ;
; SRAM_ADDR[17] ; Missing drive strength               ;
; SRAM_ADDR[18] ; Missing drive strength               ;
; SRAM_ADDR[19] ; Missing drive strength               ;
; SRAM_UB_N     ; Missing drive strength               ;
; SRAM_LB_N     ; Missing drive strength               ;
; SRAM_WE_N     ; Missing drive strength               ;
; SRAM_CE_N     ; Missing drive strength               ;
; SRAM_OE_N     ; Missing drive strength               ;
; SD_DAT3       ; Missing drive strength               ;
; SD_CMD        ; Missing drive strength               ;
; SD_CLK        ; Missing drive strength               ;
; VGA_SYNC_N    ; Missing drive strength               ;
; VGA_CLK       ; Missing drive strength               ;
; VGA_BLANK_N   ; Missing drive strength               ;
; VGA_HS        ; Missing drive strength               ;
; VGA_VS        ; Missing drive strength               ;
; VGA_R[0]      ; Missing drive strength               ;
; VGA_R[1]      ; Missing drive strength               ;
; VGA_R[2]      ; Missing drive strength               ;
; VGA_R[3]      ; Missing drive strength               ;
; VGA_R[4]      ; Missing drive strength               ;
; VGA_R[5]      ; Missing drive strength               ;
; VGA_R[6]      ; Missing drive strength               ;
; VGA_R[7]      ; Missing drive strength               ;
; VGA_G[0]      ; Missing drive strength               ;
; VGA_G[1]      ; Missing drive strength               ;
; VGA_G[2]      ; Missing drive strength               ;
; VGA_G[3]      ; Missing drive strength               ;
; VGA_G[4]      ; Missing drive strength               ;
; VGA_G[5]      ; Missing drive strength               ;
; VGA_G[6]      ; Missing drive strength               ;
; VGA_G[7]      ; Missing drive strength               ;
; VGA_B[0]      ; Missing drive strength               ;
; VGA_B[1]      ; Missing drive strength               ;
; VGA_B[2]      ; Missing drive strength               ;
; VGA_B[3]      ; Missing drive strength               ;
; VGA_B[4]      ; Missing drive strength               ;
; VGA_B[5]      ; Missing drive strength               ;
; VGA_B[6]      ; Missing drive strength               ;
; VGA_B[7]      ; Missing drive strength               ;
; AUD_DACDAT    ; Missing drive strength               ;
; AUD_XCK       ; Missing drive strength               ;
; LCD_RS        ; Missing drive strength               ;
; LCD_EN        ; Missing drive strength               ;
; LCD_RW        ; Missing drive strength               ;
; LCD_ON        ; Missing drive strength               ;
; LCD_BLON      ; Missing drive strength               ;
; SD_DAT1       ; Missing drive strength               ;
; SD_DAT2       ; Missing drive strength               ;
; PS2_DAT2      ; Missing drive strength               ;
; PS2_CLK2      ; Missing drive strength               ;
; DRAM_DQ[0]    ; Missing drive strength               ;
; DRAM_DQ[1]    ; Missing drive strength               ;
; DRAM_DQ[2]    ; Missing drive strength               ;
; DRAM_DQ[3]    ; Missing drive strength               ;
; DRAM_DQ[4]    ; Missing drive strength               ;
; DRAM_DQ[5]    ; Missing drive strength               ;
; DRAM_DQ[6]    ; Missing drive strength               ;
; DRAM_DQ[7]    ; Missing drive strength               ;
; DRAM_DQ[8]    ; Missing drive strength               ;
; DRAM_DQ[9]    ; Missing drive strength               ;
; DRAM_DQ[10]   ; Missing drive strength               ;
; DRAM_DQ[11]   ; Missing drive strength               ;
; DRAM_DQ[12]   ; Missing drive strength               ;
; DRAM_DQ[13]   ; Missing drive strength               ;
; DRAM_DQ[14]   ; Missing drive strength               ;
; DRAM_DQ[15]   ; Missing drive strength               ;
; DRAM_DQ[16]   ; Missing drive strength               ;
; DRAM_DQ[17]   ; Missing drive strength               ;
; DRAM_DQ[18]   ; Missing drive strength               ;
; DRAM_DQ[19]   ; Missing drive strength               ;
; DRAM_DQ[20]   ; Missing drive strength               ;
; DRAM_DQ[21]   ; Missing drive strength               ;
; DRAM_DQ[22]   ; Missing drive strength               ;
; DRAM_DQ[23]   ; Missing drive strength               ;
; DRAM_DQ[24]   ; Missing drive strength               ;
; DRAM_DQ[25]   ; Missing drive strength               ;
; DRAM_DQ[26]   ; Missing drive strength               ;
; DRAM_DQ[27]   ; Missing drive strength               ;
; DRAM_DQ[28]   ; Missing drive strength               ;
; DRAM_DQ[29]   ; Missing drive strength               ;
; DRAM_DQ[30]   ; Missing drive strength               ;
; DRAM_DQ[31]   ; Missing drive strength               ;
; FL_DQ[0]      ; Missing drive strength               ;
; FL_DQ[1]      ; Missing drive strength               ;
; FL_DQ[2]      ; Missing drive strength               ;
; FL_DQ[3]      ; Missing drive strength               ;
; FL_DQ[4]      ; Missing drive strength               ;
; FL_DQ[5]      ; Missing drive strength               ;
; FL_DQ[6]      ; Missing drive strength               ;
; FL_DQ[7]      ; Missing drive strength               ;
; SRAM_DQ[0]    ; Missing drive strength               ;
; SRAM_DQ[1]    ; Missing drive strength               ;
; SRAM_DQ[2]    ; Missing drive strength               ;
; SRAM_DQ[3]    ; Missing drive strength               ;
; SRAM_DQ[4]    ; Missing drive strength               ;
; SRAM_DQ[5]    ; Missing drive strength               ;
; SRAM_DQ[6]    ; Missing drive strength               ;
; SRAM_DQ[7]    ; Missing drive strength               ;
; SRAM_DQ[8]    ; Missing drive strength               ;
; SRAM_DQ[9]    ; Missing drive strength               ;
; SRAM_DQ[10]   ; Missing drive strength               ;
; SRAM_DQ[11]   ; Missing drive strength               ;
; SRAM_DQ[12]   ; Missing drive strength               ;
; SRAM_DQ[13]   ; Missing drive strength               ;
; SRAM_DQ[14]   ; Missing drive strength               ;
; SRAM_DQ[15]   ; Missing drive strength               ;
; PS2_DAT       ; Missing drive strength               ;
; PS2_CLK       ; Missing drive strength               ;
; AUD_ADCLRCK   ; Missing drive strength               ;
; AUD_DACLRCK   ; Missing drive strength               ;
; AUD_BCLK      ; Missing drive strength               ;
; LCD_DATA[0]   ; Missing drive strength               ;
; LCD_DATA[1]   ; Missing drive strength               ;
; LCD_DATA[2]   ; Missing drive strength               ;
; LCD_DATA[3]   ; Missing drive strength               ;
; LCD_DATA[4]   ; Missing drive strength               ;
; LCD_DATA[5]   ; Missing drive strength               ;
; LCD_DATA[6]   ; Missing drive strength               ;
; LCD_DATA[7]   ; Missing drive strength               ;
+---------------+--------------------------------------+


+--------------------------------------------------------------------------------------------------+
; Ignored Assignments                                                                              ;
+--------------+----------------+--------------+------------------+---------------+----------------+
; Name         ; Ignored Entity ; Ignored From ; Ignored To       ; Ignored Value ; Ignored Source ;
+--------------+----------------+--------------+------------------+---------------+----------------+
; Location     ;                ;              ; CLOCK2_50        ; PIN_AG14      ; QSF Assignment ;
; Location     ;                ;              ; CLOCK3_50        ; PIN_AG15      ; QSF Assignment ;
; Location     ;                ;              ; EEP_I2C_SCLK     ; PIN_D14       ; QSF Assignment ;
; Location     ;                ;              ; EEP_I2C_SDAT     ; PIN_E14       ; QSF Assignment ;
; Location     ;                ;              ; ENET0_GTX_CLK    ; PIN_A17       ; QSF Assignment ;
; Location     ;                ;              ; ENET0_INT_N      ; PIN_A21       ; QSF Assignment ;
; Location     ;                ;              ; ENET0_LINK100    ; PIN_C14       ; QSF Assignment ;
; Location     ;                ;              ; ENET0_MDC        ; PIN_C20       ; QSF Assignment ;
; Location     ;                ;              ; ENET0_MDIO       ; PIN_B21       ; QSF Assignment ;
; Location     ;                ;              ; ENET0_RST_N      ; PIN_C19       ; QSF Assignment ;
; Location     ;                ;              ; ENET0_RX_CLK     ; PIN_A15       ; QSF Assignment ;
; Location     ;                ;              ; ENET0_RX_COL     ; PIN_E15       ; QSF Assignment ;
; Location     ;                ;              ; ENET0_RX_CRS     ; PIN_D15       ; QSF Assignment ;
; Location     ;                ;              ; ENET0_RX_DATA[0] ; PIN_C16       ; QSF Assignment ;
; Location     ;                ;              ; ENET0_RX_DATA[1] ; PIN_D16       ; QSF Assignment ;
; Location     ;                ;              ; ENET0_RX_DATA[2] ; PIN_D17       ; QSF Assignment ;
; Location     ;                ;              ; ENET0_RX_DATA[3] ; PIN_C15       ; QSF Assignment ;
; Location     ;                ;              ; ENET0_RX_DV      ; PIN_C17       ; QSF Assignment ;
; Location     ;                ;              ; ENET0_RX_ER      ; PIN_D18       ; QSF Assignment ;
; Location     ;                ;              ; ENET0_TX_CLK     ; PIN_B17       ; QSF Assignment ;
; Location     ;                ;              ; ENET0_TX_DATA[0] ; PIN_C18       ; QSF Assignment ;
; Location     ;                ;              ; ENET0_TX_DATA[1] ; PIN_D19       ; QSF Assignment ;
; Location     ;                ;              ; ENET0_TX_DATA[2] ; PIN_A19       ; QSF Assignment ;
; Location     ;                ;              ; ENET0_TX_DATA[3] ; PIN_B19       ; QSF Assignment ;
; Location     ;                ;              ; ENET0_TX_EN      ; PIN_A18       ; QSF Assignment ;
; Location     ;                ;              ; ENET0_TX_ER      ; PIN_B18       ; QSF Assignment ;
; Location     ;                ;              ; ENET1_GTX_CLK    ; PIN_C23       ; QSF Assignment ;
; Location     ;                ;              ; ENET1_INT_N      ; PIN_D24       ; QSF Assignment ;
; Location     ;                ;              ; ENET1_LINK100    ; PIN_D13       ; QSF Assignment ;
; Location     ;                ;              ; ENET1_MDC        ; PIN_D23       ; QSF Assignment ;
; Location     ;                ;              ; ENET1_MDIO       ; PIN_D25       ; QSF Assignment ;
; Location     ;                ;              ; ENET1_RST_N      ; PIN_D22       ; QSF Assignment ;
; Location     ;                ;              ; ENET1_RX_CLK     ; PIN_B15       ; QSF Assignment ;
; Location     ;                ;              ; ENET1_RX_COL     ; PIN_B22       ; QSF Assignment ;
; Location     ;                ;              ; ENET1_RX_CRS     ; PIN_D20       ; QSF Assignment ;
; Location     ;                ;              ; ENET1_RX_DATA[0] ; PIN_B23       ; QSF Assignment ;
; Location     ;                ;              ; ENET1_RX_DATA[1] ; PIN_C21       ; QSF Assignment ;
; Location     ;                ;              ; ENET1_RX_DATA[2] ; PIN_A23       ; QSF Assignment ;
; Location     ;                ;              ; ENET1_RX_DATA[3] ; PIN_D21       ; QSF Assignment ;
; Location     ;                ;              ; ENET1_RX_DV      ; PIN_A22       ; QSF Assignment ;
; Location     ;                ;              ; ENET1_RX_ER      ; PIN_C24       ; QSF Assignment ;
; Location     ;                ;              ; ENET1_TX_CLK     ; PIN_C22       ; QSF Assignment ;
; Location     ;                ;              ; ENET1_TX_DATA[0] ; PIN_C25       ; QSF Assignment ;
; Location     ;                ;              ; ENET1_TX_DATA[1] ; PIN_A26       ; QSF Assignment ;
; Location     ;                ;              ; ENET1_TX_DATA[2] ; PIN_B26       ; QSF Assignment ;
; Location     ;                ;              ; ENET1_TX_DATA[3] ; PIN_C26       ; QSF Assignment ;
; Location     ;                ;              ; ENET1_TX_EN      ; PIN_B25       ; QSF Assignment ;
; Location     ;                ;              ; ENET1_TX_ER      ; PIN_A25       ; QSF Assignment ;
; Location     ;                ;              ; ENETCLK_25       ; PIN_A14       ; QSF Assignment ;
; Location     ;                ;              ; EX_IO[0]         ; PIN_J10       ; QSF Assignment ;
; Location     ;                ;              ; EX_IO[1]         ; PIN_J14       ; QSF Assignment ;
; Location     ;                ;              ; EX_IO[2]         ; PIN_H13       ; QSF Assignment ;
; Location     ;                ;              ; EX_IO[3]         ; PIN_H14       ; QSF Assignment ;
; Location     ;                ;              ; EX_IO[4]         ; PIN_F14       ; QSF Assignment ;
; Location     ;                ;              ; EX_IO[5]         ; PIN_E10       ; QSF Assignment ;
; Location     ;                ;              ; EX_IO[6]         ; PIN_D9        ; QSF Assignment ;
; Location     ;                ;              ; I2C_SCLK         ; PIN_B7        ; QSF Assignment ;
; Location     ;                ;              ; I2C_SDAT         ; PIN_A8        ; QSF Assignment ;
; Location     ;                ;              ; IRDA_RXD         ; PIN_Y15       ; QSF Assignment ;
; Location     ;                ;              ; OTG_ADDR[0]      ; PIN_H7        ; QSF Assignment ;
; Location     ;                ;              ; OTG_ADDR[1]      ; PIN_C3        ; QSF Assignment ;
; Location     ;                ;              ; OTG_CS_N         ; PIN_A3        ; QSF Assignment ;
; Location     ;                ;              ; OTG_DATA[0]      ; PIN_J6        ; QSF Assignment ;
; Location     ;                ;              ; OTG_DATA[10]     ; PIN_G1        ; QSF Assignment ;
; Location     ;                ;              ; OTG_DATA[11]     ; PIN_G2        ; QSF Assignment ;
; Location     ;                ;              ; OTG_DATA[12]     ; PIN_G3        ; QSF Assignment ;
; Location     ;                ;              ; OTG_DATA[13]     ; PIN_F1        ; QSF Assignment ;
; Location     ;                ;              ; OTG_DATA[14]     ; PIN_F3        ; QSF Assignment ;
; Location     ;                ;              ; OTG_DATA[15]     ; PIN_G4        ; QSF Assignment ;
; Location     ;                ;              ; OTG_DATA[1]      ; PIN_K4        ; QSF Assignment ;
; Location     ;                ;              ; OTG_DATA[2]      ; PIN_J5        ; QSF Assignment ;
; Location     ;                ;              ; OTG_DATA[3]      ; PIN_K3        ; QSF Assignment ;
; Location     ;                ;              ; OTG_DATA[4]      ; PIN_J4        ; QSF Assignment ;
; Location     ;                ;              ; OTG_DATA[5]      ; PIN_J3        ; QSF Assignment ;
; Location     ;                ;              ; OTG_DATA[6]      ; PIN_J7        ; QSF Assignment ;
; Location     ;                ;              ; OTG_DATA[7]      ; PIN_H6        ; QSF Assignment ;
; Location     ;                ;              ; OTG_DATA[8]      ; PIN_H3        ; QSF Assignment ;
; Location     ;                ;              ; OTG_DATA[9]      ; PIN_H4        ; QSF Assignment ;
; Location     ;                ;              ; OTG_INT          ; PIN_D5        ; QSF Assignment ;
; Location     ;                ;              ; OTG_RD_N         ; PIN_B3        ; QSF Assignment ;
; Location     ;                ;              ; OTG_RST_N        ; PIN_C5        ; QSF Assignment ;
; Location     ;                ;              ; OTG_WE_N         ; PIN_A4        ; QSF Assignment ;
; Location     ;                ;              ; SD_WP_N          ; PIN_AF14      ; QSF Assignment ;
; Location     ;                ;              ; SMA_CLKIN        ; PIN_AH14      ; QSF Assignment ;
; Location     ;                ;              ; SMA_CLKOUT       ; PIN_AE23      ; QSF Assignment ;
; Location     ;                ;              ; TD_CLK27         ; PIN_B14       ; QSF Assignment ;
; Location     ;                ;              ; TD_DATA[0]       ; PIN_E8        ; QSF Assignment ;
; Location     ;                ;              ; TD_DATA[1]       ; PIN_A7        ; QSF Assignment ;
; Location     ;                ;              ; TD_DATA[2]       ; PIN_D8        ; QSF Assignment ;
; Location     ;                ;              ; TD_DATA[3]       ; PIN_C7        ; QSF Assignment ;
; Location     ;                ;              ; TD_DATA[4]       ; PIN_D7        ; QSF Assignment ;
; Location     ;                ;              ; TD_DATA[5]       ; PIN_D6        ; QSF Assignment ;
; Location     ;                ;              ; TD_DATA[6]       ; PIN_E7        ; QSF Assignment ;
; Location     ;                ;              ; TD_DATA[7]       ; PIN_F7        ; QSF Assignment ;
; Location     ;                ;              ; TD_HS            ; PIN_E5        ; QSF Assignment ;
; Location     ;                ;              ; TD_RESET_N       ; PIN_G7        ; QSF Assignment ;
; Location     ;                ;              ; TD_VS            ; PIN_E4        ; QSF Assignment ;
; I/O Standard ;                ;              ; CLOCK2_50        ; 3.3-V LVTTL   ; QSF Assignment ;
; I/O Standard ;                ;              ; CLOCK3_50        ; 3.3-V LVTTL   ; QSF Assignment ;
; I/O Standard ;                ;              ; EEP_I2C_SCLK     ; 3.3-V LVTTL   ; QSF Assignment ;
; I/O Standard ;                ;              ; EEP_I2C_SDAT     ; 3.3-V LVTTL   ; QSF Assignment ;
; I/O Standard ;                ;              ; ENET0_GTX_CLK    ; 2.5 V         ; QSF Assignment ;
; I/O Standard ;                ;              ; ENET0_INT_N      ; 2.5 V         ; QSF Assignment ;
; I/O Standard ;                ;              ; ENET0_LINK100    ; 3.3-V LVTTL   ; QSF Assignment ;
; I/O Standard ;                ;              ; ENET0_MDC        ; 2.5 V         ; QSF Assignment ;
; I/O Standard ;                ;              ; ENET0_MDIO       ; 2.5 V         ; QSF Assignment ;
; I/O Standard ;                ;              ; ENET0_RST_N      ; 2.5 V         ; QSF Assignment ;
; I/O Standard ;                ;              ; ENET0_RX_CLK     ; 2.5 V         ; QSF Assignment ;
; I/O Standard ;                ;              ; ENET0_RX_COL     ; 2.5 V         ; QSF Assignment ;
; I/O Standard ;                ;              ; ENET0_RX_CRS     ; 2.5 V         ; QSF Assignment ;
; I/O Standard ;                ;              ; ENET0_RX_DATA[0] ; 2.5 V         ; QSF Assignment ;
; I/O Standard ;                ;              ; ENET0_RX_DATA[1] ; 2.5 V         ; QSF Assignment ;
; I/O Standard ;                ;              ; ENET0_RX_DATA[2] ; 2.5 V         ; QSF Assignment ;
; I/O Standard ;                ;              ; ENET0_RX_DATA[3] ; 2.5 V         ; QSF Assignment ;
; I/O Standard ;                ;              ; ENET0_RX_DV      ; 2.5 V         ; QSF Assignment ;
; I/O Standard ;                ;              ; ENET0_RX_ER      ; 2.5 V         ; QSF Assignment ;
; I/O Standard ;                ;              ; ENET0_TX_CLK     ; 2.5 V         ; QSF Assignment ;
; I/O Standard ;                ;              ; ENET0_TX_DATA[0] ; 2.5 V         ; QSF Assignment ;
; I/O Standard ;                ;              ; ENET0_TX_DATA[1] ; 2.5 V         ; QSF Assignment ;
; I/O Standard ;                ;              ; ENET0_TX_DATA[2] ; 2.5 V         ; QSF Assignment ;
; I/O Standard ;                ;              ; ENET0_TX_DATA[3] ; 2.5 V         ; QSF Assignment ;
; I/O Standard ;                ;              ; ENET0_TX_EN      ; 2.5 V         ; QSF Assignment ;
; I/O Standard ;                ;              ; ENET0_TX_ER      ; 2.5 V         ; QSF Assignment ;
; I/O Standard ;                ;              ; ENET1_GTX_CLK    ; 2.5 V         ; QSF Assignment ;
; I/O Standard ;                ;              ; ENET1_INT_N      ; 2.5 V         ; QSF Assignment ;
; I/O Standard ;                ;              ; ENET1_LINK100    ; 3.3-V LVTTL   ; QSF Assignment ;
; I/O Standard ;                ;              ; ENET1_MDC        ; 2.5 V         ; QSF Assignment ;
; I/O Standard ;                ;              ; ENET1_MDIO       ; 2.5 V         ; QSF Assignment ;
; I/O Standard ;                ;              ; ENET1_RST_N      ; 2.5 V         ; QSF Assignment ;
; I/O Standard ;                ;              ; ENET1_RX_CLK     ; 2.5 V         ; QSF Assignment ;
; I/O Standard ;                ;              ; ENET1_RX_COL     ; 2.5 V         ; QSF Assignment ;
; I/O Standard ;                ;              ; ENET1_RX_CRS     ; 2.5 V         ; QSF Assignment ;
; I/O Standard ;                ;              ; ENET1_RX_DATA[0] ; 2.5 V         ; QSF Assignment ;
; I/O Standard ;                ;              ; ENET1_RX_DATA[1] ; 2.5 V         ; QSF Assignment ;
; I/O Standard ;                ;              ; ENET1_RX_DATA[2] ; 2.5 V         ; QSF Assignment ;
; I/O Standard ;                ;              ; ENET1_RX_DATA[3] ; 2.5 V         ; QSF Assignment ;
; I/O Standard ;                ;              ; ENET1_RX_DV      ; 2.5 V         ; QSF Assignment ;
; I/O Standard ;                ;              ; ENET1_RX_ER      ; 2.5 V         ; QSF Assignment ;
; I/O Standard ;                ;              ; ENET1_TX_CLK     ; 2.5 V         ; QSF Assignment ;
; I/O Standard ;                ;              ; ENET1_TX_DATA[0] ; 2.5 V         ; QSF Assignment ;
; I/O Standard ;                ;              ; ENET1_TX_DATA[1] ; 2.5 V         ; QSF Assignment ;
; I/O Standard ;                ;              ; ENET1_TX_DATA[2] ; 2.5 V         ; QSF Assignment ;
; I/O Standard ;                ;              ; ENET1_TX_DATA[3] ; 2.5 V         ; QSF Assignment ;
; I/O Standard ;                ;              ; ENET1_TX_EN      ; 2.5 V         ; QSF Assignment ;
; I/O Standard ;                ;              ; ENET1_TX_ER      ; 2.5 V         ; QSF Assignment ;
; I/O Standard ;                ;              ; ENETCLK_25       ; 3.3-V LVTTL   ; QSF Assignment ;
; I/O Standard ;                ;              ; EX_IO[0]         ; 3.3-V LVTTL   ; QSF Assignment ;
; I/O Standard ;                ;              ; EX_IO[1]         ; 3.3-V LVTTL   ; QSF Assignment ;
; I/O Standard ;                ;              ; EX_IO[2]         ; 3.3-V LVTTL   ; QSF Assignment ;
; I/O Standard ;                ;              ; EX_IO[3]         ; 3.3-V LVTTL   ; QSF Assignment ;
; I/O Standard ;                ;              ; EX_IO[4]         ; 3.3-V LVTTL   ; QSF Assignment ;
; I/O Standard ;                ;              ; EX_IO[5]         ; 3.3-V LVTTL   ; QSF Assignment ;
; I/O Standard ;                ;              ; EX_IO[6]         ; 3.3-V LVTTL   ; QSF Assignment ;
; I/O Standard ;                ;              ; I2C_SCLK         ; 3.3-V LVTTL   ; QSF Assignment ;
; I/O Standard ;                ;              ; I2C_SDAT         ; 3.3-V LVTTL   ; QSF Assignment ;
; I/O Standard ;                ;              ; IRDA_RXD         ; 3.3-V LVTTL   ; QSF Assignment ;
; I/O Standard ;                ;              ; OTG_ADDR[0]      ; 3.3-V LVTTL   ; QSF Assignment ;
; I/O Standard ;                ;              ; OTG_ADDR[1]      ; 3.3-V LVTTL   ; QSF Assignment ;
; I/O Standard ;                ;              ; OTG_CS_N         ; 3.3-V LVTTL   ; QSF Assignment ;
; I/O Standard ;                ;              ; OTG_DATA[0]      ; 3.3-V LVTTL   ; QSF Assignment ;
; I/O Standard ;                ;              ; OTG_DATA[10]     ; 3.3-V LVTTL   ; QSF Assignment ;
; I/O Standard ;                ;              ; OTG_DATA[11]     ; 3.3-V LVTTL   ; QSF Assignment ;
; I/O Standard ;                ;              ; OTG_DATA[12]     ; 3.3-V LVTTL   ; QSF Assignment ;
; I/O Standard ;                ;              ; OTG_DATA[13]     ; 3.3-V LVTTL   ; QSF Assignment ;
; I/O Standard ;                ;              ; OTG_DATA[14]     ; 3.3-V LVTTL   ; QSF Assignment ;
; I/O Standard ;                ;              ; OTG_DATA[15]     ; 3.3-V LVTTL   ; QSF Assignment ;
; I/O Standard ;                ;              ; OTG_DATA[1]      ; 3.3-V LVTTL   ; QSF Assignment ;
; I/O Standard ;                ;              ; OTG_DATA[2]      ; 3.3-V LVTTL   ; QSF Assignment ;
; I/O Standard ;                ;              ; OTG_DATA[3]      ; 3.3-V LVTTL   ; QSF Assignment ;
; I/O Standard ;                ;              ; OTG_DATA[4]      ; 3.3-V LVTTL   ; QSF Assignment ;
; I/O Standard ;                ;              ; OTG_DATA[5]      ; 3.3-V LVTTL   ; QSF Assignment ;
; I/O Standard ;                ;              ; OTG_DATA[6]      ; 3.3-V LVTTL   ; QSF Assignment ;
; I/O Standard ;                ;              ; OTG_DATA[7]      ; 3.3-V LVTTL   ; QSF Assignment ;
; I/O Standard ;                ;              ; OTG_DATA[8]      ; 3.3-V LVTTL   ; QSF Assignment ;
; I/O Standard ;                ;              ; OTG_DATA[9]      ; 3.3-V LVTTL   ; QSF Assignment ;
; I/O Standard ;                ;              ; OTG_INT          ; 3.3-V LVTTL   ; QSF Assignment ;
; I/O Standard ;                ;              ; OTG_RD_N         ; 3.3-V LVTTL   ; QSF Assignment ;
; I/O Standard ;                ;              ; OTG_RST_N        ; 3.3-V LVTTL   ; QSF Assignment ;
; I/O Standard ;                ;              ; OTG_WE_N         ; 3.3-V LVTTL   ; QSF Assignment ;
; I/O Standard ;                ;              ; SD_WP_N          ; 3.3-V LVTTL   ; QSF Assignment ;
; I/O Standard ;                ;              ; SMA_CLKIN        ; 3.3-V LVTTL   ; QSF Assignment ;
; I/O Standard ;                ;              ; SMA_CLKOUT       ; 3.3-V LVTTL   ; QSF Assignment ;
; I/O Standard ;                ;              ; TD_CLK27         ; 3.3-V LVTTL   ; QSF Assignment ;
; I/O Standard ;                ;              ; TD_DATA[0]       ; 3.3-V LVTTL   ; QSF Assignment ;
; I/O Standard ;                ;              ; TD_DATA[1]       ; 3.3-V LVTTL   ; QSF Assignment ;
; I/O Standard ;                ;              ; TD_DATA[2]       ; 3.3-V LVTTL   ; QSF Assignment ;
; I/O Standard ;                ;              ; TD_DATA[3]       ; 3.3-V LVTTL   ; QSF Assignment ;
; I/O Standard ;                ;              ; TD_DATA[4]       ; 3.3-V LVTTL   ; QSF Assignment ;
; I/O Standard ;                ;              ; TD_DATA[5]       ; 3.3-V LVTTL   ; QSF Assignment ;
; I/O Standard ;                ;              ; TD_DATA[6]       ; 3.3-V LVTTL   ; QSF Assignment ;
; I/O Standard ;                ;              ; TD_DATA[7]       ; 3.3-V LVTTL   ; QSF Assignment ;
; I/O Standard ;                ;              ; TD_HS            ; 3.3-V LVTTL   ; QSF Assignment ;
; I/O Standard ;                ;              ; TD_RESET_N       ; 3.3-V LVTTL   ; QSF Assignment ;
; I/O Standard ;                ;              ; TD_VS            ; 3.3-V LVTTL   ; QSF Assignment ;
+--------------+----------------+--------------+------------------+---------------+----------------+


+----------------------------------------------+
; Incremental Compilation Preservation Summary ;
+---------------------+------------------------+
; Type                ; Value                  ;
+---------------------+------------------------+
; Placement (by node) ;                        ;
;     -- Requested    ; 0 / 4463 ( 0.00 % )    ;
;     -- Achieved     ; 0 / 4463 ( 0.00 % )    ;
;                     ;                        ;
; Routing (by net)    ;                        ;
;     -- Requested    ; 0 / 0 ( 0.00 % )       ;
;     -- Achieved     ; 0 / 0 ( 0.00 % )       ;
+---------------------+------------------------+


+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Incremental Compilation Partition Settings                                                                                                                                             ;
+--------------------------------+----------------+-------------------+-------------------------+------------------------+------------------------------+--------------------------------+
; Partition Name                 ; Partition Type ; Netlist Type Used ; Preservation Level Used ; Netlist Type Requested ; Preservation Level Requested ; Contents                       ;
+--------------------------------+----------------+-------------------+-------------------------+------------------------+------------------------------+--------------------------------+
; Top                            ; User-created   ; Source File       ; N/A                     ; Source File            ; N/A                          ;                                ;
; hard_block:auto_generated_inst ; Auto-generated ; Source File       ; N/A                     ; Source File            ; N/A                          ; hard_block:auto_generated_inst ;
+--------------------------------+----------------+-------------------+-------------------------+------------------------+------------------------------+--------------------------------+


+------------------------------------------------------------------------------------------------------------+
; Incremental Compilation Placement Preservation                                                             ;
+--------------------------------+---------+-------------------+-------------------------+-------------------+
; Partition Name                 ; # Nodes ; # Preserved Nodes ; Preservation Level Used ; Netlist Type Used ;
+--------------------------------+---------+-------------------+-------------------------+-------------------+
; Top                            ; 4453    ; 0                 ; N/A                     ; Source File       ;
; hard_block:auto_generated_inst ; 10      ; 0                 ; N/A                     ; Source File       ;
+--------------------------------+---------+-------------------+-------------------------+-------------------+


+--------------+
; Pin-Out File ;
+--------------+
The pin-out file can be found in F:/z80soc-local/hw/0.7.3/DE2115/073DE2115e.pin.


+---------------------------------------------------------------------------+
; Fitter Resource Usage Summary                                             ;
+---------------------------------------------+-----------------------------+
; Resource                                    ; Usage                       ;
+---------------------------------------------+-----------------------------+
; Total logic elements                        ; 3,187 / 114,480 ( 3 % )     ;
;     -- Combinational with no register       ; 2562                        ;
;     -- Register only                        ; 89                          ;
;     -- Combinational with a register        ; 536                         ;
;                                             ;                             ;
; Logic element usage by number of LUT inputs ;                             ;
;     -- 4 input functions                    ; 1995                        ;
;     -- 3 input functions                    ; 778                         ;
;     -- <=2 input functions                  ; 325                         ;
;     -- Register only                        ; 89                          ;
;                                             ;                             ;
; Logic elements by mode                      ;                             ;
;     -- normal mode                          ; 2889                        ;
;     -- arithmetic mode                      ; 209                         ;
;                                             ;                             ;
; Total registers*                            ; 625 / 117,053 ( < 1 % )     ;
;     -- Dedicated logic registers            ; 625 / 114,480 ( < 1 % )     ;
;     -- I/O registers                        ; 0 / 2,573 ( 0 % )           ;
;                                             ;                             ;
; Total LABs:  partially or completely used   ; 226 / 7,155 ( 3 % )         ;
; Virtual pins                                ; 0                           ;
; I/O pins                                    ; 303 / 529 ( 57 % )          ;
;     -- Clock pins                           ; 1 / 7 ( 14 % )              ;
;     -- Dedicated input pins                 ; 0 / 9 ( 0 % )               ;
;                                             ;                             ;
; Global signals                              ; 20                          ;
; M9Ks                                        ; 26 / 432 ( 6 % )            ;
; Total block memory bits                     ; 196,600 / 3,981,312 ( 5 % ) ;
; Total block memory implementation bits      ; 239,616 / 3,981,312 ( 6 % ) ;
; Embedded Multiplier 9-bit elements          ; 0 / 532 ( 0 % )             ;
; PLLs                                        ; 0 / 4 ( 0 % )               ;
; Global clocks                               ; 20 / 20 ( 100 % )           ;
; JTAGs                                       ; 0 / 1 ( 0 % )               ;
; CRC blocks                                  ; 0 / 1 ( 0 % )               ;
; ASMI blocks                                 ; 0 / 1 ( 0 % )               ;
; Impedance control blocks                    ; 0 / 4 ( 0 % )               ;
; Average interconnect usage (total/H/V)      ; 2% / 2% / 2%                ;
; Peak interconnect usage (total/H/V)         ; 38% / 36% / 41%             ;
; Maximum fan-out                             ; 403                         ;
; Highest non-global fan-out                  ; 221                         ;
; Total fan-out                               ; 14025                       ;
; Average fan-out                             ; 3.09                        ;
+---------------------------------------------+-----------------------------+
*  Register count does not include registers inside RAM blocks or DSP blocks.



+-------------------------------------------------------------------------------------------------------+
; Fitter Partition Statistics                                                                           ;
+---------------------------------------------+------------------------+--------------------------------+
; Statistic                                   ; Top                    ; hard_block:auto_generated_inst ;
+---------------------------------------------+------------------------+--------------------------------+
; Difficulty Clustering Region                ; Low                    ; Low                            ;
;                                             ;                        ;                                ;
; Total logic elements                        ; 3187 / 114480 ( 3 % )  ; 0 / 114480 ( 0 % )             ;
;     -- Combinational with no register       ; 2562                   ; 0                              ;
;     -- Register only                        ; 89                     ; 0                              ;
;     -- Combinational with a register        ; 536                    ; 0                              ;
;                                             ;                        ;                                ;
; Logic element usage by number of LUT inputs ;                        ;                                ;
;     -- 4 input functions                    ; 1995                   ; 0                              ;
;     -- 3 input functions                    ; 778                    ; 0                              ;
;     -- <=2 input functions                  ; 325                    ; 0                              ;
;     -- Register only                        ; 89                     ; 0                              ;
;                                             ;                        ;                                ;
; Logic elements by mode                      ;                        ;                                ;
;     -- normal mode                          ; 2889                   ; 0                              ;
;     -- arithmetic mode                      ; 209                    ; 0                              ;
;                                             ;                        ;                                ;
; Total registers                             ; 625                    ; 0                              ;
;     -- Dedicated logic registers            ; 625 / 114480 ( < 1 % ) ; 0 / 114480 ( 0 % )             ;
;                                             ;                        ;                                ;
; Total LABs:  partially or completely used   ; 226 / 7155 ( 3 % )     ; 0 / 7155 ( 0 % )               ;
;                                             ;                        ;                                ;
; Virtual pins                                ; 0                      ; 0                              ;
; I/O pins                                    ; 303                    ; 0                              ;
; Embedded Multiplier 9-bit elements          ; 0 / 532 ( 0 % )        ; 0 / 532 ( 0 % )                ;
; Total memory bits                           ; 196600                 ; 0                              ;
; Total RAM block bits                        ; 239616                 ; 0                              ;
; M9K                                         ; 26 / 432 ( 6 % )       ; 0 / 432 ( 0 % )                ;
; Clock control block                         ; 20 / 24 ( 83 % )       ; 0 / 24 ( 0 % )                 ;
;                                             ;                        ;                                ;
; Connections                                 ;                        ;                                ;
;     -- Input Connections                    ; 73                     ; 0                              ;
;     -- Registered Input Connections         ; 0                      ; 0                              ;
;     -- Output Connections                   ; 73                     ; 0                              ;
;     -- Registered Output Connections        ; 0                      ; 0                              ;
;                                             ;                        ;                                ;
; Internal Connections                        ;                        ;                                ;
;     -- Total Connections                    ; 14034                  ; 5                              ;
;     -- Registered Connections               ; 5730                   ; 0                              ;
;                                             ;                        ;                                ;
; External Connections                        ;                        ;                                ;
;     -- Top                                  ; 146                    ; 0                              ;
;     -- hard_block:auto_generated_inst       ; 0                      ; 0                              ;
;                                             ;                        ;                                ;
; Partition Interface                         ;                        ;                                ;
;     -- Input Ports                          ; 29                     ; 0                              ;
;     -- Output Ports                         ; 201                    ; 0                              ;
;     -- Bidir Ports                          ; 73                     ; 0                              ;
;                                             ;                        ;                                ;
; Registered Ports                            ;                        ;                                ;
;     -- Registered Input Ports               ; 0                      ; 0                              ;
;     -- Registered Output Ports              ; 0                      ; 0                              ;
;                                             ;                        ;                                ;
; Port Connectivity                           ;                        ;                                ;
;     -- Input Ports driven by GND            ; 0                      ; 0                              ;
;     -- Output Ports driven by GND           ; 0                      ; 0                              ;
;     -- Input Ports driven by VCC            ; 0                      ; 0                              ;
;     -- Output Ports driven by VCC           ; 0                      ; 0                              ;
;     -- Input Ports with no Source           ; 0                      ; 0                              ;
;     -- Output Ports with no Source          ; 0                      ; 0                              ;
;     -- Input Ports with no Fanout           ; 0                      ; 0                              ;
;     -- Output Ports with no Fanout          ; 0                      ; 0                              ;
+---------------------------------------------+------------------------+--------------------------------+


+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Input Pins                                                                                                                                                                                                                                                                      ;
+------------+-------+----------+--------------+--------------+--------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+---------------------------+----------------------+
; Name       ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Z coordinate ; Combinational Fan-Out ; Registered Fan-Out ; Global ; Input Register ; Power Up High ; PCI I/O Enabled ; Bus Hold ; Weak Pull Up ; I/O Standard ; Termination Control Block ; Location assigned by ;
+------------+-------+----------+--------------+--------------+--------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+---------------------------+----------------------+
; AUD_ADCDAT ; D2    ; 1        ; 0            ; 68           ; 0            ; 0                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 3.3-V LVTTL  ; --                        ; User                 ;
; CLOCK_50   ; Y2    ; 2        ; 0            ; 36           ; 14           ; 108                   ; 0                  ; yes    ; no             ; no            ; yes             ; no       ; Off          ; 3.3-V LVTTL  ; --                        ; User                 ;
; FL_RY      ; Y1    ; 2        ; 0            ; 36           ; 21           ; 0                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 3.3-V LVTTL  ; --                        ; User                 ;
; KEY[0]     ; M23   ; 6        ; 115          ; 40           ; 7            ; 1                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 3.3-V LVTTL  ; --                        ; User                 ;
; KEY[1]     ; M21   ; 6        ; 115          ; 53           ; 14           ; 1                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 3.3-V LVTTL  ; --                        ; User                 ;
; KEY[2]     ; N21   ; 6        ; 115          ; 42           ; 14           ; 1                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 3.3-V LVTTL  ; --                        ; User                 ;
; KEY[3]     ; R24   ; 5        ; 115          ; 35           ; 21           ; 1                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 3.3-V LVTTL  ; --                        ; User                 ;
; SD_DAT0    ; AE14  ; 3        ; 49           ; 0            ; 21           ; 0                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 3.3-V LVTTL  ; --                        ; User                 ;
; SW[0]      ; AB28  ; 5        ; 115          ; 17           ; 0            ; 1                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 3.3-V LVTTL  ; --                        ; User                 ;
; SW[10]     ; AC24  ; 5        ; 115          ; 4            ; 14           ; 1                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 3.3-V LVTTL  ; --                        ; User                 ;
; SW[11]     ; AB24  ; 5        ; 115          ; 5            ; 14           ; 1                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 3.3-V LVTTL  ; --                        ; User                 ;
; SW[12]     ; AB23  ; 5        ; 115          ; 7            ; 14           ; 1                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 3.3-V LVTTL  ; --                        ; User                 ;
; SW[13]     ; AA24  ; 5        ; 115          ; 9            ; 21           ; 1                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 3.3-V LVTTL  ; --                        ; User                 ;
; SW[14]     ; AA23  ; 5        ; 115          ; 10           ; 7            ; 1                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 3.3-V LVTTL  ; --                        ; User                 ;
; SW[15]     ; AA22  ; 5        ; 115          ; 6            ; 14           ; 1                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 3.3-V LVTTL  ; --                        ; User                 ;
; SW[16]     ; Y24   ; 5        ; 115          ; 13           ; 0            ; 1                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 3.3-V LVTTL  ; --                        ; User                 ;
; SW[17]     ; Y23   ; 5        ; 115          ; 14           ; 7            ; 220                   ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 3.3-V LVTTL  ; --                        ; User                 ;
; SW[1]      ; AC28  ; 5        ; 115          ; 14           ; 0            ; 1                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 3.3-V LVTTL  ; --                        ; User                 ;
; SW[2]      ; AC27  ; 5        ; 115          ; 15           ; 7            ; 1                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 3.3-V LVTTL  ; --                        ; User                 ;
; SW[3]      ; AD27  ; 5        ; 115          ; 13           ; 7            ; 1                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 3.3-V LVTTL  ; --                        ; User                 ;
; SW[4]      ; AB27  ; 5        ; 115          ; 18           ; 7            ; 1                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 3.3-V LVTTL  ; --                        ; User                 ;
; SW[5]      ; AC26  ; 5        ; 115          ; 11           ; 7            ; 1                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 3.3-V LVTTL  ; --                        ; User                 ;
; SW[6]      ; AD26  ; 5        ; 115          ; 10           ; 0            ; 1                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 3.3-V LVTTL  ; --                        ; User                 ;
; SW[7]      ; AB26  ; 5        ; 115          ; 15           ; 0            ; 1                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 3.3-V LVTTL  ; --                        ; User                 ;
; SW[8]      ; AC25  ; 5        ; 115          ; 4            ; 21           ; 1                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 3.3-V LVTTL  ; --                        ; User                 ;
; SW[9]      ; AB25  ; 5        ; 115          ; 16           ; 7            ; 1                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 3.3-V LVTTL  ; --                        ; User                 ;
; UART_CTS   ; J13   ; 8        ; 40           ; 73           ; 0            ; 0                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 3.3-V LVTTL  ; --                        ; User                 ;
; UART_RTS   ; G14   ; 8        ; 47           ; 73           ; 14           ; 0                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 3.3-V LVTTL  ; --                        ; User                 ;
; UART_RXD   ; G12   ; 8        ; 27           ; 73           ; 7            ; 0                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 3.3-V LVTTL  ; --                        ; User                 ;
+------------+-------+----------+--------------+--------------+--------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+---------------------------+----------------------+


+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Output Pins                                                                                                                                                                                                                                                                                                                                                                                                                                                    ;
+---------------+-------+----------+--------------+--------------+--------------+-----------------+------------------------+---------------+-----------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-----------------------------------+---------------------------+----------------------------+-----------------------------+----------------------+----------------------+---------------------+
; Name          ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Z coordinate ; Output Register ; Output Enable Register ; Power Up High ; Slew Rate ; PCI I/O Enabled ; Open Drain ; TRI Primitive ; Bus Hold ; Weak Pull Up ; I/O Standard ; Current Strength ; Termination                       ; Termination Control Block ; Output Buffer Pre-emphasis ; Voltage Output Differential ; Location assigned by ; Output Enable Source ; Output Enable Group ;
+---------------+-------+----------+--------------+--------------+--------------+-----------------+------------------------+---------------+-----------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-----------------------------------+---------------------------+----------------------------+-----------------------------+----------------------+----------------------+---------------------+
; AUD_DACDAT    ; D1    ; 1        ; 0            ; 68           ; 7            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; AUD_XCK       ; E1    ; 1        ; 0            ; 61           ; 21           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; DRAM_ADDR[0]  ; R6    ; 2        ; 0            ; 34           ; 0            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; DRAM_ADDR[10] ; R5    ; 2        ; 0            ; 32           ; 21           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; DRAM_ADDR[11] ; AA5   ; 2        ; 0            ; 10           ; 21           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; DRAM_ADDR[12] ; Y7    ; 2        ; 0            ; 11           ; 21           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; DRAM_ADDR[1]  ; V8    ; 2        ; 0            ; 15           ; 21           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; DRAM_ADDR[2]  ; U8    ; 2        ; 0            ; 18           ; 21           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; DRAM_ADDR[3]  ; P1    ; 1        ; 0            ; 42           ; 0            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; DRAM_ADDR[4]  ; V5    ; 2        ; 0            ; 15           ; 14           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; DRAM_ADDR[5]  ; W8    ; 2        ; 0            ; 11           ; 14           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; DRAM_ADDR[6]  ; W7    ; 2        ; 0            ; 12           ; 21           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; DRAM_ADDR[7]  ; AA7   ; 2        ; 0            ; 9            ; 14           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; DRAM_ADDR[8]  ; Y5    ; 2        ; 0            ; 12           ; 14           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; DRAM_ADDR[9]  ; Y6    ; 2        ; 0            ; 13           ; 7            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; DRAM_BA_0     ; U7    ; 2        ; 0            ; 18           ; 14           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; DRAM_BA_1     ; R4    ; 2        ; 0            ; 33           ; 14           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; DRAM_CAS_N    ; V7    ; 2        ; 0            ; 14           ; 0            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; DRAM_CKE      ; AA6   ; 2        ; 0            ; 10           ; 14           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; DRAM_CLK      ; AE5   ; 3        ; 5            ; 0            ; 21           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; DRAM_CS_N     ; T4    ; 2        ; 0            ; 33           ; 21           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; DRAM_DQM_0    ; U2    ; 2        ; 0            ; 30           ; 0            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; DRAM_DQM_1    ; W4    ; 2        ; 0            ; 14           ; 7            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; DRAM_DQM_2    ; K8    ; 1        ; 0            ; 48           ; 0            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; DRAM_DQM_3    ; N8    ; 1        ; 0            ; 42           ; 7            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; DRAM_RAS_N    ; U6    ; 2        ; 0            ; 25           ; 21           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; DRAM_WE_N     ; V6    ; 2        ; 0            ; 16           ; 21           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; FL_ADDR[0]    ; AG12  ; 3        ; 54           ; 0            ; 7            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; FL_ADDR[10]   ; AE9   ; 3        ; 27           ; 0            ; 21           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; FL_ADDR[11]   ; AF9   ; 3        ; 20           ; 0            ; 0            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; FL_ADDR[12]   ; AA10  ; 3        ; 18           ; 0            ; 7            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; FL_ADDR[13]   ; AD8   ; 3        ; 9            ; 0            ; 7            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; FL_ADDR[14]   ; AC8   ; 3        ; 18           ; 0            ; 21           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; FL_ADDR[15]   ; Y10   ; 3        ; 7            ; 0            ; 7            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; FL_ADDR[16]   ; AA8   ; 3        ; 18           ; 0            ; 14           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; FL_ADDR[17]   ; AH12  ; 3        ; 54           ; 0            ; 0            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; FL_ADDR[18]   ; AC12  ; 3        ; 45           ; 0            ; 21           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; FL_ADDR[19]   ; AD12  ; 3        ; 47           ; 0            ; 0            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; FL_ADDR[1]    ; AH7   ; 3        ; 16           ; 0            ; 7            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; FL_ADDR[20]   ; AE10  ; 3        ; 29           ; 0            ; 21           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; FL_ADDR[21]   ; AD10  ; 3        ; 13           ; 0            ; 21           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; FL_ADDR[22]   ; AD11  ; 3        ; 49           ; 0            ; 0            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; FL_ADDR[2]    ; Y13   ; 3        ; 52           ; 0            ; 7            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; FL_ADDR[3]    ; Y14   ; 3        ; 56           ; 0            ; 7            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; FL_ADDR[4]    ; Y12   ; 3        ; 52           ; 0            ; 21           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; FL_ADDR[5]    ; AA13  ; 3        ; 52           ; 0            ; 0            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; FL_ADDR[6]    ; AA12  ; 3        ; 52           ; 0            ; 14           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; FL_ADDR[7]    ; AB13  ; 3        ; 47           ; 0            ; 7            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; FL_ADDR[8]    ; AB12  ; 3        ; 45           ; 0            ; 14           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; FL_ADDR[9]    ; AB10  ; 3        ; 38           ; 0            ; 7            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; FL_CE_N       ; AG7   ; 3        ; 16           ; 0            ; 21           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; FL_OE_N       ; AG8   ; 3        ; 18           ; 0            ; 0            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; FL_RST_N      ; AE11  ; 3        ; 35           ; 0            ; 21           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; FL_WE_N       ; AC10  ; 3        ; 38           ; 0            ; 0            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; FL_WP_N       ; AE12  ; 3        ; 33           ; 0            ; 7            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; HEX0[0]       ; G18   ; 7        ; 69           ; 73           ; 21           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; HEX0[1]       ; F22   ; 7        ; 107          ; 73           ; 21           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; HEX0[2]       ; E17   ; 7        ; 67           ; 73           ; 21           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; HEX0[3]       ; L26   ; 6        ; 115          ; 50           ; 0            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; HEX0[4]       ; L25   ; 6        ; 115          ; 54           ; 14           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; HEX0[5]       ; J22   ; 6        ; 115          ; 67           ; 14           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; HEX0[6]       ; H22   ; 6        ; 115          ; 69           ; 0            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; HEX1[0]       ; M24   ; 6        ; 115          ; 41           ; 0            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; HEX1[1]       ; Y22   ; 5        ; 115          ; 30           ; 7            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; HEX1[2]       ; W21   ; 5        ; 115          ; 25           ; 21           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; HEX1[3]       ; W22   ; 5        ; 115          ; 30           ; 0            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; HEX1[4]       ; W25   ; 5        ; 115          ; 20           ; 7            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; HEX1[5]       ; U23   ; 5        ; 115          ; 22           ; 0            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; HEX1[6]       ; U24   ; 5        ; 115          ; 28           ; 7            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; HEX2[0]       ; AA25  ; 5        ; 115          ; 17           ; 7            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; HEX2[1]       ; AA26  ; 5        ; 115          ; 16           ; 0            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; HEX2[2]       ; Y25   ; 5        ; 115          ; 19           ; 7            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; HEX2[3]       ; W26   ; 5        ; 115          ; 19           ; 0            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; HEX2[4]       ; Y26   ; 5        ; 115          ; 18           ; 0            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; HEX2[5]       ; W27   ; 5        ; 115          ; 20           ; 0            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; HEX2[6]       ; W28   ; 5        ; 115          ; 21           ; 14           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; HEX3[0]       ; V21   ; 5        ; 115          ; 25           ; 14           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; HEX3[1]       ; U21   ; 5        ; 115          ; 29           ; 0            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; HEX3[2]       ; AB20  ; 4        ; 100          ; 0            ; 0            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; HEX3[3]       ; AA21  ; 4        ; 111          ; 0            ; 0            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; HEX3[4]       ; AD24  ; 4        ; 105          ; 0            ; 21           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; HEX3[5]       ; AF23  ; 4        ; 105          ; 0            ; 7            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; HEX3[6]       ; Y19   ; 4        ; 105          ; 0            ; 0            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; HEX4[0]       ; AB19  ; 4        ; 98           ; 0            ; 21           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; HEX4[1]       ; AA19  ; 4        ; 107          ; 0            ; 7            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; HEX4[2]       ; AG21  ; 4        ; 74           ; 0            ; 7            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; HEX4[3]       ; AH21  ; 4        ; 74           ; 0            ; 0            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; HEX4[4]       ; AE19  ; 4        ; 83           ; 0            ; 21           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; HEX4[5]       ; AF19  ; 4        ; 83           ; 0            ; 14           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; HEX4[6]       ; AE18  ; 4        ; 79           ; 0            ; 21           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; HEX5[0]       ; AD18  ; 4        ; 85           ; 0            ; 7            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; HEX5[1]       ; AC18  ; 4        ; 87           ; 0            ; 14           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; HEX5[2]       ; AB18  ; 4        ; 98           ; 0            ; 14           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; HEX5[3]       ; AH19  ; 4        ; 72           ; 0            ; 0            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; HEX5[4]       ; AG19  ; 4        ; 72           ; 0            ; 7            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; HEX5[5]       ; AF18  ; 4        ; 79           ; 0            ; 14           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; HEX5[6]       ; AH18  ; 4        ; 69           ; 0            ; 0            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; HEX6[0]       ; AA17  ; 4        ; 89           ; 0            ; 21           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; HEX6[1]       ; AB16  ; 4        ; 65           ; 0            ; 0            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; HEX6[2]       ; AA16  ; 4        ; 65           ; 0            ; 7            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; HEX6[3]       ; AB17  ; 4        ; 89           ; 0            ; 14           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; HEX6[4]       ; AB15  ; 4        ; 67           ; 0            ; 14           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; HEX6[5]       ; AA15  ; 4        ; 67           ; 0            ; 21           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; HEX6[6]       ; AC17  ; 4        ; 74           ; 0            ; 21           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; HEX7[0]       ; AD17  ; 4        ; 74           ; 0            ; 14           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; HEX7[1]       ; AE17  ; 4        ; 67           ; 0            ; 7            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; HEX7[2]       ; AG17  ; 4        ; 62           ; 0            ; 21           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; HEX7[3]       ; AH17  ; 4        ; 62           ; 0            ; 14           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; HEX7[4]       ; AF17  ; 4        ; 67           ; 0            ; 0            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; HEX7[5]       ; AG18  ; 4        ; 69           ; 0            ; 7            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; HEX7[6]       ; AA14  ; 3        ; 54           ; 0            ; 21           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; LCD_BLON      ; L6    ; 1        ; 0            ; 47           ; 21           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; LCD_EN        ; L4    ; 1        ; 0            ; 52           ; 0            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; LCD_ON        ; L5    ; 1        ; 0            ; 58           ; 14           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; LCD_RS        ; M2    ; 1        ; 0            ; 44           ; 14           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; LCD_RW        ; M1    ; 1        ; 0            ; 44           ; 21           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; LEDG[0]       ; E21   ; 7        ; 107          ; 73           ; 7            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; LEDG[1]       ; E22   ; 7        ; 111          ; 73           ; 7            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; LEDG[2]       ; E25   ; 7        ; 83           ; 73           ; 0            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; LEDG[3]       ; E24   ; 7        ; 85           ; 73           ; 21           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; LEDG[4]       ; H21   ; 7        ; 72           ; 73           ; 14           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; LEDG[5]       ; G20   ; 7        ; 74           ; 73           ; 14           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; LEDG[6]       ; G22   ; 7        ; 72           ; 73           ; 21           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; LEDG[7]       ; G21   ; 7        ; 74           ; 73           ; 21           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; LEDG[8]       ; F17   ; 7        ; 67           ; 73           ; 14           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; LEDR[0]       ; G19   ; 7        ; 69           ; 73           ; 14           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; LEDR[10]      ; J15   ; 7        ; 60           ; 73           ; 21           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; LEDR[11]      ; H16   ; 7        ; 65           ; 73           ; 21           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; LEDR[12]      ; J16   ; 7        ; 65           ; 73           ; 14           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; LEDR[13]      ; H17   ; 7        ; 67           ; 73           ; 7            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; LEDR[14]      ; F15   ; 7        ; 58           ; 73           ; 0            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; LEDR[15]      ; G15   ; 7        ; 65           ; 73           ; 7            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; LEDR[16]      ; G16   ; 7        ; 67           ; 73           ; 0            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; LEDR[17]      ; H15   ; 7        ; 60           ; 73           ; 14           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; LEDR[1]       ; F19   ; 7        ; 94           ; 73           ; 0            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; LEDR[2]       ; E19   ; 7        ; 94           ; 73           ; 7            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; LEDR[3]       ; F21   ; 7        ; 107          ; 73           ; 14           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; LEDR[4]       ; F18   ; 7        ; 87           ; 73           ; 14           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; LEDR[5]       ; E18   ; 7        ; 87           ; 73           ; 7            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; LEDR[6]       ; J19   ; 7        ; 72           ; 73           ; 7            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; LEDR[7]       ; H19   ; 7        ; 72           ; 73           ; 0            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; LEDR[8]       ; J17   ; 7        ; 69           ; 73           ; 0            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; LEDR[9]       ; G17   ; 7        ; 83           ; 73           ; 21           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; SD_CLK        ; AE13  ; 3        ; 42           ; 0            ; 21           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; SD_CMD        ; AD14  ; 3        ; 56           ; 0            ; 14           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; SD_DAT3       ; AC14  ; 3        ; 56           ; 0            ; 21           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; SRAM_ADDR[0]  ; AB7   ; 3        ; 16           ; 0            ; 0            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; SRAM_ADDR[10] ; AF2   ; 2        ; 0            ; 6            ; 0            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; SRAM_ADDR[11] ; AD3   ; 2        ; 0            ; 22           ; 14           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; SRAM_ADDR[12] ; AB4   ; 2        ; 0            ; 8            ; 21           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; SRAM_ADDR[13] ; AC3   ; 2        ; 0            ; 23           ; 21           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; SRAM_ADDR[14] ; AA4   ; 2        ; 0            ; 19           ; 0            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; SRAM_ADDR[15] ; AB11  ; 3        ; 27           ; 0            ; 7            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; SRAM_ADDR[16] ; AC11  ; 3        ; 49           ; 0            ; 7            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; SRAM_ADDR[17] ; AB9   ; 3        ; 11           ; 0            ; 7            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; SRAM_ADDR[18] ; AB8   ; 3        ; 11           ; 0            ; 0            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; SRAM_ADDR[19] ; T8    ; 2        ; 0            ; 20           ; 14           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; SRAM_ADDR[1]  ; AD7   ; 3        ; 3            ; 0            ; 0            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; SRAM_ADDR[2]  ; AE7   ; 3        ; 20           ; 0            ; 14           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; SRAM_ADDR[3]  ; AC7   ; 3        ; 9            ; 0            ; 0            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; SRAM_ADDR[4]  ; AB6   ; 2        ; 0            ; 4            ; 7            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; SRAM_ADDR[5]  ; AE6   ; 3        ; 1            ; 0            ; 14           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; SRAM_ADDR[6]  ; AB5   ; 2        ; 0            ; 4            ; 21           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; SRAM_ADDR[7]  ; AC5   ; 2        ; 0            ; 5            ; 14           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; SRAM_ADDR[8]  ; AF5   ; 3        ; 5            ; 0            ; 14           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; SRAM_ADDR[9]  ; T7    ; 2        ; 0            ; 31           ; 14           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; SRAM_CE_N     ; AF8   ; 3        ; 23           ; 0            ; 14           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; SRAM_LB_N     ; AD4   ; 3        ; 1            ; 0            ; 7            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; SRAM_OE_N     ; AD5   ; 3        ; 1            ; 0            ; 21           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; SRAM_UB_N     ; AC4   ; 2        ; 0            ; 4            ; 0            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; SRAM_WE_N     ; AE8   ; 3        ; 23           ; 0            ; 21           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; UART_TXD      ; G9    ; 8        ; 13           ; 73           ; 21           ; no              ; no                     ; no            ; 2         ; no              ; yes        ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; VGA_BLANK_N   ; F11   ; 8        ; 31           ; 73           ; 7            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; VGA_B[0]      ; B10   ; 8        ; 38           ; 73           ; 7            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; VGA_B[1]      ; A10   ; 8        ; 38           ; 73           ; 0            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; VGA_B[2]      ; C11   ; 8        ; 23           ; 73           ; 0            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; VGA_B[3]      ; B11   ; 8        ; 42           ; 73           ; 7            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; VGA_B[4]      ; A11   ; 8        ; 42           ; 73           ; 0            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; VGA_B[5]      ; C12   ; 8        ; 52           ; 73           ; 14           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; VGA_B[6]      ; D11   ; 8        ; 23           ; 73           ; 7            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; VGA_B[7]      ; D12   ; 8        ; 52           ; 73           ; 21           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; VGA_CLK       ; A12   ; 8        ; 47           ; 73           ; 0            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; VGA_G[0]      ; G8    ; 8        ; 11           ; 73           ; 14           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; VGA_G[1]      ; G11   ; 8        ; 25           ; 73           ; 14           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; VGA_G[2]      ; F8    ; 8        ; 11           ; 73           ; 7            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; VGA_G[3]      ; H12   ; 8        ; 25           ; 73           ; 21           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; VGA_G[4]      ; C8    ; 8        ; 16           ; 73           ; 7            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; VGA_G[5]      ; B8    ; 8        ; 16           ; 73           ; 0            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; VGA_G[6]      ; F10   ; 8        ; 20           ; 73           ; 0            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; VGA_G[7]      ; C9    ; 8        ; 23           ; 73           ; 14           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; VGA_HS        ; G13   ; 8        ; 38           ; 73           ; 14           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; VGA_R[0]      ; E12   ; 8        ; 33           ; 73           ; 0            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; VGA_R[1]      ; E11   ; 8        ; 31           ; 73           ; 0            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; VGA_R[2]      ; D10   ; 8        ; 35           ; 73           ; 21           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; VGA_R[3]      ; F12   ; 8        ; 33           ; 73           ; 7            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; VGA_R[4]      ; G10   ; 8        ; 20           ; 73           ; 7            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; VGA_R[5]      ; J12   ; 8        ; 40           ; 73           ; 7            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; VGA_R[6]      ; H8    ; 8        ; 11           ; 73           ; 21           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; VGA_R[7]      ; H10   ; 8        ; 20           ; 73           ; 14           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; VGA_SYNC_N    ; C10   ; 8        ; 35           ; 73           ; 14           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; VGA_VS        ; C13   ; 8        ; 54           ; 73           ; 0            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
+---------------+-------+----------+--------------+--------------+--------------+-----------------+------------------------+---------------+-----------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-----------------------------------+---------------------------+----------------------------+-----------------------------+----------------------+----------------------+---------------------+


+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Bidir Pins                                                                                                                                                                                                                                                                                                                                                                                                                                       ;
+-------------+-------+----------+--------------+--------------+--------------+-----------------------+--------------------+--------+----------------+-----------------+------------------------+---------------+-----------+-----------------+------------+----------+--------------+--------------+------------------+--------------------+---------------------------+----------------------+------+----------------------+---------------------+
; Name        ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Z coordinate ; Combinational Fan-Out ; Registered Fan-Out ; Global ; Input Register ; Output Register ; Output Enable Register ; Power Up High ; Slew Rate ; PCI I/O Enabled ; Open Drain ; Bus Hold ; Weak Pull Up ; I/O Standard ; Current Strength ; Output Termination ; Termination Control Block ; Location assigned by ; Load ; Output Enable Source ; Output Enable Group ;
+-------------+-------+----------+--------------+--------------+--------------+-----------------------+--------------------+--------+----------------+-----------------+------------------------+---------------+-----------+-----------------+------------+----------+--------------+--------------+------------------+--------------------+---------------------------+----------------------+------+----------------------+---------------------+
; AUD_ADCLRCK ; C2    ; 1        ; 0            ; 69           ; 7            ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; -                    ; -                   ;
; AUD_BCLK    ; F2    ; 1        ; 0            ; 60           ; 14           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; -                    ; -                   ;
; AUD_DACLRCK ; E3    ; 1        ; 0            ; 66           ; 14           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; -                    ; -                   ;
; DRAM_DQ[0]  ; W3    ; 2        ; 0            ; 13           ; 0            ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; -                    ; -                   ;
; DRAM_DQ[10] ; AB1   ; 2        ; 0            ; 27           ; 21           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; -                    ; -                   ;
; DRAM_DQ[11] ; AA3   ; 2        ; 0            ; 19           ; 7            ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; -                    ; -                   ;
; DRAM_DQ[12] ; AB2   ; 2        ; 0            ; 27           ; 14           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; -                    ; -                   ;
; DRAM_DQ[13] ; AC1   ; 2        ; 0            ; 23           ; 14           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; -                    ; -                   ;
; DRAM_DQ[14] ; AB3   ; 2        ; 0            ; 21           ; 21           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; -                    ; -                   ;
; DRAM_DQ[15] ; AC2   ; 2        ; 0            ; 24           ; 21           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; -                    ; -                   ;
; DRAM_DQ[16] ; M8    ; 1        ; 0            ; 45           ; 14           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; -                    ; -                   ;
; DRAM_DQ[17] ; L8    ; 1        ; 0            ; 48           ; 7            ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; -                    ; -                   ;
; DRAM_DQ[18] ; P2    ; 1        ; 0            ; 43           ; 14           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; -                    ; -                   ;
; DRAM_DQ[19] ; N3    ; 1        ; 0            ; 46           ; 21           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; -                    ; -                   ;
; DRAM_DQ[1]  ; W2    ; 2        ; 0            ; 26           ; 14           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; -                    ; -                   ;
; DRAM_DQ[20] ; N4    ; 1        ; 0            ; 46           ; 14           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; -                    ; -                   ;
; DRAM_DQ[21] ; M4    ; 1        ; 0            ; 52           ; 21           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; -                    ; -                   ;
; DRAM_DQ[22] ; M7    ; 1        ; 0            ; 45           ; 21           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; -                    ; -                   ;
; DRAM_DQ[23] ; L7    ; 1        ; 0            ; 47           ; 14           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; -                    ; -                   ;
; DRAM_DQ[24] ; U5    ; 2        ; 0            ; 24           ; 0            ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; -                    ; -                   ;
; DRAM_DQ[25] ; R7    ; 2        ; 0            ; 35           ; 14           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; -                    ; -                   ;
; DRAM_DQ[26] ; R1    ; 2        ; 0            ; 35           ; 7            ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; -                    ; -                   ;
; DRAM_DQ[27] ; R2    ; 2        ; 0            ; 35           ; 0            ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; -                    ; -                   ;
; DRAM_DQ[28] ; R3    ; 2        ; 0            ; 34           ; 21           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; -                    ; -                   ;
; DRAM_DQ[29] ; T3    ; 2        ; 0            ; 32           ; 14           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; -                    ; -                   ;
; DRAM_DQ[2]  ; V4    ; 2        ; 0            ; 29           ; 14           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; -                    ; -                   ;
; DRAM_DQ[30] ; U4    ; 2        ; 0            ; 34           ; 14           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; -                    ; -                   ;
; DRAM_DQ[31] ; U1    ; 2        ; 0            ; 30           ; 7            ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; -                    ; -                   ;
; DRAM_DQ[3]  ; W1    ; 2        ; 0            ; 25           ; 14           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; -                    ; -                   ;
; DRAM_DQ[4]  ; V3    ; 2        ; 0            ; 29           ; 21           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; -                    ; -                   ;
; DRAM_DQ[5]  ; V2    ; 2        ; 0            ; 28           ; 14           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; -                    ; -                   ;
; DRAM_DQ[6]  ; V1    ; 2        ; 0            ; 28           ; 21           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; -                    ; -                   ;
; DRAM_DQ[7]  ; U3    ; 2        ; 0            ; 34           ; 7            ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; -                    ; -                   ;
; DRAM_DQ[8]  ; Y3    ; 2        ; 0            ; 24           ; 14           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; -                    ; -                   ;
; DRAM_DQ[9]  ; Y4    ; 2        ; 0            ; 24           ; 7            ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; -                    ; -                   ;
; FL_DQ[0]    ; AH8   ; 3        ; 20           ; 0            ; 21           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; -                    ; -                   ;
; FL_DQ[1]    ; AF10  ; 3        ; 29           ; 0            ; 14           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; -                    ; -                   ;
; FL_DQ[2]    ; AG10  ; 3        ; 31           ; 0            ; 7            ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; -                    ; -                   ;
; FL_DQ[3]    ; AH10  ; 3        ; 31           ; 0            ; 0            ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; -                    ; -                   ;
; FL_DQ[4]    ; AF11  ; 3        ; 35           ; 0            ; 14           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; -                    ; -                   ;
; FL_DQ[5]    ; AG11  ; 3        ; 40           ; 0            ; 21           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; -                    ; -                   ;
; FL_DQ[6]    ; AH11  ; 3        ; 40           ; 0            ; 14           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; -                    ; -                   ;
; FL_DQ[7]    ; AF12  ; 3        ; 33           ; 0            ; 0            ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; -                    ; -                   ;
; LCD_DATA[0] ; L3    ; 1        ; 0            ; 52           ; 14           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; no         ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; -                    ; -                   ;
; LCD_DATA[1] ; L1    ; 1        ; 0            ; 44           ; 7            ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; no         ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; -                    ; -                   ;
; LCD_DATA[2] ; L2    ; 1        ; 0            ; 44           ; 0            ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; no         ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; -                    ; -                   ;
; LCD_DATA[3] ; K7    ; 1        ; 0            ; 49           ; 7            ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; no         ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; -                    ; -                   ;
; LCD_DATA[4] ; K1    ; 1        ; 0            ; 54           ; 7            ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; no         ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; -                    ; -                   ;
; LCD_DATA[5] ; K2    ; 1        ; 0            ; 55           ; 21           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; no         ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; -                    ; -                   ;
; LCD_DATA[6] ; M3    ; 1        ; 0            ; 51           ; 14           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; no         ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; -                    ; -                   ;
; LCD_DATA[7] ; M5    ; 1        ; 0            ; 47           ; 0            ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; no         ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; -                    ; -                   ;
; PS2_CLK     ; G6    ; 1        ; 0            ; 67           ; 14           ; 1                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; -                    ; -                   ;
; PS2_CLK2    ; G5    ; 1        ; 0            ; 67           ; 21           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; -                    ; -                   ;
; PS2_DAT     ; H5    ; 1        ; 0            ; 59           ; 21           ; 3                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; -                    ; -                   ;
; PS2_DAT2    ; F5    ; 1        ; 0            ; 65           ; 14           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; -                    ; -                   ;
; SD_DAT1     ; AF13  ; 3        ; 42           ; 0            ; 14           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; -                    ; -                   ;
; SD_DAT2     ; AB14  ; 3        ; 54           ; 0            ; 14           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; -                    ; -                   ;
; SRAM_DQ[0]  ; AH3   ; 3        ; 5            ; 0            ; 7            ; 1                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; no         ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; SRAM_DQ~8 (inverted) ; -                   ;
; SRAM_DQ[10] ; AE2   ; 2        ; 0            ; 17           ; 14           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; -                    ; -                   ;
; SRAM_DQ[11] ; AE1   ; 2        ; 0            ; 16           ; 14           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; -                    ; -                   ;
; SRAM_DQ[12] ; AE3   ; 2        ; 0            ; 7            ; 7            ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; -                    ; -                   ;
; SRAM_DQ[13] ; AE4   ; 3        ; 3            ; 0            ; 21           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; -                    ; -                   ;
; SRAM_DQ[14] ; AF3   ; 3        ; 7            ; 0            ; 21           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; -                    ; -                   ;
; SRAM_DQ[15] ; AG3   ; 3        ; 3            ; 0            ; 14           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; -                    ; -                   ;
; SRAM_DQ[1]  ; AF4   ; 3        ; 1            ; 0            ; 0            ; 1                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; no         ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; SRAM_DQ~8 (inverted) ; -                   ;
; SRAM_DQ[2]  ; AG4   ; 3        ; 9            ; 0            ; 21           ; 1                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; no         ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; SRAM_DQ~8 (inverted) ; -                   ;
; SRAM_DQ[3]  ; AH4   ; 3        ; 9            ; 0            ; 14           ; 1                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; no         ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; SRAM_DQ~8 (inverted) ; -                   ;
; SRAM_DQ[4]  ; AF6   ; 3        ; 7            ; 0            ; 14           ; 1                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; no         ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; SRAM_DQ~8 (inverted) ; -                   ;
; SRAM_DQ[5]  ; AG6   ; 3        ; 11           ; 0            ; 21           ; 1                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; no         ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; SRAM_DQ~8 (inverted) ; -                   ;
; SRAM_DQ[6]  ; AH6   ; 3        ; 11           ; 0            ; 14           ; 1                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; no         ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; SRAM_DQ~8 (inverted) ; -                   ;
; SRAM_DQ[7]  ; AF7   ; 3        ; 20           ; 0            ; 7            ; 1                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; no         ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; SRAM_DQ~8 (inverted) ; -                   ;
; SRAM_DQ[8]  ; AD1   ; 2        ; 0            ; 21           ; 14           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; -                    ; -                   ;
; SRAM_DQ[9]  ; AD2   ; 2        ; 0            ; 22           ; 21           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; -                    ; -                   ;
+-------------+-------+----------+--------------+--------------+--------------+-----------------------+--------------------+--------+----------------+-----------------+------------------------+---------------+-----------+-----------------+------------+----------+--------------+--------------+------------------+--------------------+---------------------------+----------------------+------+----------------------+---------------------+


+--------------------------------------------------------------------------------------------------------------------------------------+
; Dual Purpose and Dedicated Pins                                                                                                      ;
+----------+------------------------------------------+--------------------------+-------------------------+---------------------------+
; Location ; Pin Name                                 ; Reserved As              ; User Signal Name        ; Pin Type                  ;
+----------+------------------------------------------+--------------------------+-------------------------+---------------------------+
; G6       ; DIFFIO_L3p, nRESET                       ; Use as regular IO        ; PS2_CLK                 ; Dual Purpose Pin          ;
; F4       ; DIFFIO_L5n, DATA1, ASDO                  ; As input tri-stated      ; ~ALTERA_ASDO_DATA1~     ; Dual Purpose Pin          ;
; E2       ; DIFFIO_L8p, FLASH_nCE, nCSO              ; As input tri-stated      ; ~ALTERA_FLASH_nCE_nCSO~ ; Dual Purpose Pin          ;
; M6       ; nSTATUS                                  ; -                        ; -                       ; Dedicated Programming Pin ;
; P3       ; DCLK                                     ; As output driving ground ; ~ALTERA_DCLK~           ; Dual Purpose Pin          ;
; N7       ; DATA0                                    ; As input tri-stated      ; ~ALTERA_DATA0~          ; Dual Purpose Pin          ;
; P4       ; nCONFIG                                  ; -                        ; -                       ; Dedicated Programming Pin ;
; R8       ; nCE                                      ; -                        ; -                       ; Dedicated Programming Pin ;
; P24      ; CONF_DONE                                ; -                        ; -                       ; Dedicated Programming Pin ;
; N22      ; MSEL0                                    ; -                        ; -                       ; Dedicated Programming Pin ;
; P23      ; MSEL1                                    ; -                        ; -                       ; Dedicated Programming Pin ;
; M22      ; MSEL2                                    ; -                        ; -                       ; Dedicated Programming Pin ;
; P22      ; MSEL3                                    ; -                        ; -                       ; Dedicated Programming Pin ;
; P28      ; DIFFIO_R23n, nCEO                        ; Use as programming pin   ; ~ALTERA_nCEO~           ; Dual Purpose Pin          ;
; C12      ; DIFFIO_T29n, PADD16                      ; Use as regular IO        ; VGA_B[5]                ; Dual Purpose Pin          ;
; D12      ; DIFFIO_T29p, PADD17, DQS5T/CQ5T#,DPCLK10 ; Use as regular IO        ; VGA_B[7]                ; Dual Purpose Pin          ;
; A11      ; DIFFIO_T25n, DATA2                       ; Use as regular IO        ; VGA_B[4]                ; Dual Purpose Pin          ;
; B11      ; DIFFIO_T25p, DATA3                       ; Use as regular IO        ; VGA_B[3]                ; Dual Purpose Pin          ;
; A10      ; DIFFIO_T23n, PADD18                      ; Use as regular IO        ; VGA_B[1]                ; Dual Purpose Pin          ;
; B10      ; DIFFIO_T23p, DATA4                       ; Use as regular IO        ; VGA_B[0]                ; Dual Purpose Pin          ;
; G13      ; DIFFIO_T22n, PADD19                      ; Use as regular IO        ; VGA_HS                  ; Dual Purpose Pin          ;
; E12      ; DIFFIO_T20n, DATA14, DQS3T/CQ3T#,DPCLK11 ; Use as regular IO        ; VGA_R[0]                ; Dual Purpose Pin          ;
; F12      ; DIFFIO_T20p, DATA13                      ; Use as regular IO        ; VGA_R[3]                ; Dual Purpose Pin          ;
; C11      ; DIFFIO_T15n, DATA7                       ; Use as regular IO        ; VGA_B[2]                ; Dual Purpose Pin          ;
+----------+------------------------------------------+--------------------------+-------------------------+---------------------------+


+-------------------------------------------------------------+
; I/O Bank Usage                                              ;
+----------+-------------------+---------------+--------------+
; I/O Bank ; Usage             ; VCCIO Voltage ; VREF Voltage ;
+----------+-------------------+---------------+--------------+
; 1        ; 38 / 56 ( 68 % )  ; 3.3V          ; --           ;
; 2        ; 63 / 63 ( 100 % ) ; 3.3V          ; --           ;
; 3        ; 69 / 73 ( 95 % )  ; 3.3V          ; --           ;
; 4        ; 32 / 71 ( 45 % )  ; 3.3V          ; --           ;
; 5        ; 34 / 65 ( 52 % )  ; 3.3V          ; --           ;
; 6        ; 9 / 58 ( 16 % )   ; 3.3V          ; --           ;
; 7        ; 30 / 72 ( 42 % )  ; 2.5V          ; --           ;
; 8        ; 33 / 71 ( 46 % )  ; 3.3V          ; --           ;
+----------+-------------------+---------------+--------------+


+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; All Package Pins                                                                                                                                                                        ;
+----------+------------+----------+-----------------------------------------------------------+--------+--------------+---------+------------+-----------------+----------+--------------+
; Location ; Pad Number ; I/O Bank ; Pin Name/Usage                                            ; Dir.   ; I/O Standard ; Voltage ; I/O Type   ; User Assignment ; Bus Hold ; Weak Pull Up ;
+----------+------------+----------+-----------------------------------------------------------+--------+--------------+---------+------------+-----------------+----------+--------------+
; A2       ;            ; 8        ; VCCIO8                                                    ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
; A3       ; 535        ; 8        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; A4       ; 532        ; 8        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; A5       ;            ; 8        ; VCCIO8                                                    ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
; A6       ; 504        ; 8        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; A7       ; 501        ; 8        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; A8       ; 517        ; 8        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; A9       ;            ; 8        ; VCCIO8                                                    ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
; A10      ; 491        ; 8        ; VGA_B[1]                                                  ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; A11      ; 487        ; 8        ; VGA_B[4]                                                  ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; A12      ; 482        ; 8        ; VGA_CLK                                                   ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; A13      ;            ; 8        ; VCCIO8                                                    ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
; A14      ; 472        ; 8        ; GND+                                                      ;        ;              ;         ; Column I/O ;                 ; --       ; --           ;
; A15      ; 470        ; 7        ; GND+                                                      ;        ;              ;         ; Column I/O ;                 ; --       ; --           ;
; A16      ;            ; 7        ; VCCIO7                                                    ; power  ;              ; 2.5V    ; --         ;                 ; --       ; --           ;
; A17      ; 462        ; 7        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; A18      ; 442        ; 7        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; A19      ; 440        ; 7        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; A20      ;            ; 7        ; VCCIO7                                                    ; power  ;              ; 2.5V    ; --         ;                 ; --       ; --           ;
; A21      ; 425        ; 7        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; A22      ; 423        ; 7        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; A23      ; 412        ; 7        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; A24      ;            ; 7        ; VCCIO7                                                    ; power  ;              ; 2.5V    ; --         ;                 ; --       ; --           ;
; A25      ; 405        ; 7        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; A26      ; 404        ; 7        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; A27      ;            ; 7        ; VCCIO7                                                    ; power  ;              ; 2.5V    ; --         ;                 ; --       ; --           ;
; AA1      ;            ; 2        ; VCCIO2                                                    ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
; AA2      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; AA3      ; 102        ; 2        ; DRAM_DQ[11]                                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; AA4      ; 101        ; 2        ; SRAM_ADDR[14]                                             ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; AA5      ; 119        ; 2        ; DRAM_ADDR[11]                                             ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; AA6      ; 118        ; 2        ; DRAM_CKE                                                  ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; AA7      ; 120        ; 2        ; DRAM_ADDR[7]                                              ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; AA8      ; 154        ; 3        ; FL_ADDR[16]                                               ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; AA9      ;            ;          ; GNDA1                                                     ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; AA10     ; 155        ; 3        ; FL_ADDR[12]                                               ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; AA11     ;            ; 3        ; VCCIO3                                                    ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
; AA12     ; 188        ; 3        ; FL_ADDR[6]                                                ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; AA13     ; 190        ; 3        ; FL_ADDR[5]                                                ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; AA14     ; 191        ; 3        ; HEX7[6]                                                   ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; AA15     ; 213        ; 4        ; HEX6[5]                                                   ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; AA16     ; 211        ; 4        ; HEX6[2]                                                   ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; AA17     ; 241        ; 4        ; HEX6[0]                                                   ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; AA18     ;            ; 4        ; VCCIO4                                                    ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
; AA19     ; 264        ; 4        ; HEX4[1]                                                   ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; AA20     ;            ;          ; GNDA4                                                     ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; AA21     ; 269        ; 4        ; HEX3[3]                                                   ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; AA22     ; 275        ; 5        ; SW[15]                                                    ; input  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; AA23     ; 280        ; 5        ; SW[14]                                                    ; input  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; AA24     ; 279        ; 5        ; SW[13]                                                    ; input  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; AA25     ; 294        ; 5        ; HEX2[0]                                                   ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; AA26     ; 293        ; 5        ; HEX2[1]                                                   ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; AA27     ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; AA28     ;            ; 5        ; VCCIO5                                                    ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
; AB1      ; 86         ; 2        ; DRAM_DQ[10]                                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; AB2      ; 85         ; 2        ; DRAM_DQ[12]                                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; AB3      ; 99         ; 2        ; DRAM_DQ[14]                                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; AB4      ; 121        ; 2        ; SRAM_ADDR[12]                                             ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; AB5      ; 127        ; 2        ; SRAM_ADDR[6]                                              ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; AB6      ; 126        ; 2        ; SRAM_ADDR[4]                                              ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; AB7      ; 152        ; 3        ; SRAM_ADDR[0]                                              ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; AB8      ; 148        ; 3        ; SRAM_ADDR[18]                                             ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; AB9      ; 147        ; 3        ; SRAM_ADDR[17]                                             ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; AB10     ; 173        ; 3        ; FL_ADDR[9]                                                ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; AB11     ; 164        ; 3        ; SRAM_ADDR[15]                                             ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; AB12     ; 180        ; 3        ; FL_ADDR[8]                                                ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; AB13     ; 181        ; 3        ; FL_ADDR[7]                                                ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; AB14     ; 192        ; 3        ; SD_DAT2                                                   ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; AB15     ; 214        ; 4        ; HEX6[4]                                                   ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; AB16     ; 212        ; 4        ; HEX6[1]                                                   ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; AB17     ; 242        ; 4        ; HEX6[3]                                                   ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; AB18     ; 254        ; 4        ; HEX5[2]                                                   ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; AB19     ; 253        ; 4        ; HEX4[0]                                                   ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; AB20     ; 257        ; 4        ; HEX3[2]                                                   ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; AB21     ; 266        ; 4        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; AB22     ; 265        ; 4        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; AB23     ; 276        ; 5        ; SW[12]                                                    ; input  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; AB24     ; 274        ; 5        ; SW[11]                                                    ; input  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; AB25     ; 292        ; 5        ; SW[9]                                                     ; input  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; AB26     ; 291        ; 5        ; SW[7]                                                     ; input  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; AB27     ; 296        ; 5        ; SW[4]                                                     ; input  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; AB28     ; 295        ; 5        ; SW[0]                                                     ; input  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; AC1      ; 94         ; 2        ; DRAM_DQ[13]                                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; AC2      ; 93         ; 2        ; DRAM_DQ[15]                                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; AC3      ; 95         ; 2        ; SRAM_ADDR[13]                                             ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; AC4      ; 125        ; 2        ; SRAM_UB_N                                                 ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; AC5      ; 124        ; 2        ; SRAM_ADDR[7]                                              ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; AC6      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; AC7      ; 144        ; 3        ; SRAM_ADDR[3]                                              ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; AC8      ; 153        ; 3        ; FL_ADDR[14]                                               ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; AC9      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; AC10     ; 174        ; 3        ; FL_WE_N                                                   ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; AC11     ; 185        ; 3        ; SRAM_ADDR[16]                                             ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; AC12     ; 179        ; 3        ; FL_ADDR[18]                                               ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; AC13     ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; AC14     ; 195        ; 3        ; SD_DAT3                                                   ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; AC15     ; 203        ; 4        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; AC16     ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; AC17     ; 221        ; 4        ; HEX6[6]                                                   ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; AC18     ; 240        ; 4        ; HEX5[1]                                                   ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; AC19     ; 247        ; 4        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; AC20     ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; AC21     ; 258        ; 4        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; AC22     ; 267        ; 4        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; AC23     ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; AC24     ; 273        ; 5        ; SW[10]                                                    ; input  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; AC25     ; 272        ; 5        ; SW[8]                                                     ; input  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; AC26     ; 282        ; 5        ; SW[5]                                                     ; input  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; AC27     ; 290        ; 5        ; SW[2]                                                     ; input  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; AC28     ; 289        ; 5        ; SW[1]                                                     ; input  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; AD1      ; 98         ; 2        ; SRAM_DQ[8]                                                ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; AD2      ; 97         ; 2        ; SRAM_DQ[9]                                                ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; AD3      ; 96         ; 2        ; SRAM_ADDR[11]                                             ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; AD4      ; 130        ; 3        ; SRAM_LB_N                                                 ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; AD5      ; 128        ; 3        ; SRAM_OE_N                                                 ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; AD6      ;            ; 3        ; VCCIO3                                                    ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
; AD7      ; 134        ; 3        ; SRAM_ADDR[1]                                              ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; AD8      ; 143        ; 3        ; FL_ADDR[13]                                               ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; AD9      ;            ; 3        ; VCCIO3                                                    ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
; AD10     ; 149        ; 3        ; FL_ADDR[21]                                               ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; AD11     ; 186        ; 3        ; FL_ADDR[22]                                               ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; AD12     ; 182        ; 3        ; FL_ADDR[19]                                               ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; AD13     ;            ; 3        ; VCCIO3                                                    ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
; AD14     ; 196        ; 3        ; SD_CMD                                                    ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; AD15     ; 204        ; 4        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; AD16     ;            ; 4        ; VCCIO4                                                    ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
; AD17     ; 222        ; 4        ; HEX7[0]                                                   ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; AD18     ; 237        ; 4        ; HEX5[0]                                                   ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; AD19     ; 248        ; 4        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; AD20     ;            ; 4        ; VCCIO4                                                    ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
; AD21     ; 259        ; 4        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; AD22     ; 268        ; 4        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; AD23     ;            ; 4        ; VCCIO4                                                    ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
; AD24     ; 260        ; 4        ; HEX3[4]                                                   ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; AD25     ; 255        ; 4        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; AD26     ; 281        ; 5        ; SW[6]                                                     ; input  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; AD27     ; 286        ; 5        ; SW[3]                                                     ; input  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; AD28     ; 285        ; 5        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; AE1      ; 106        ; 2        ; SRAM_DQ[11]                                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; AE2      ; 105        ; 2        ; SRAM_DQ[10]                                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; AE3      ; 122        ; 2        ; SRAM_DQ[12]                                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; AE4      ; 132        ; 3        ; SRAM_DQ[13]                                               ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; AE5      ; 135        ; 3        ; DRAM_CLK                                                  ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; AE6      ; 129        ; 3        ; SRAM_ADDR[5]                                              ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; AE7      ; 158        ; 3        ; SRAM_ADDR[2]                                              ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; AE8      ; 161        ; 3        ; SRAM_WE_N                                                 ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; AE9      ; 163        ; 3        ; FL_ADDR[10]                                               ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; AE10     ; 165        ; 3        ; FL_ADDR[20]                                               ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; AE11     ; 171        ; 3        ; FL_RST_N                                                  ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; AE12     ; 169        ; 3        ; FL_WP_N                                                   ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; AE13     ; 177        ; 3        ; SD_CLK                                                    ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; AE14     ; 183        ; 3        ; SD_DAT0                                                   ; input  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; AE15     ; 205        ; 4        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; AE16     ; 209        ; 4        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; AE17     ; 215        ; 4        ; HEX7[1]                                                   ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; AE18     ; 225        ; 4        ; HEX4[6]                                                   ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; AE19     ; 231        ; 4        ; HEX4[4]                                                   ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; AE20     ; 235        ; 4        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; AE21     ; 238        ; 4        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; AE22     ; 251        ; 4        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; AE23     ; 261        ; 4        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; AE24     ; 256        ; 4        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; AE25     ; 243        ; 4        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; AE26     ; 278        ; 5        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; AE27     ; 284        ; 5        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; AE28     ; 283        ; 5        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; AF1      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; AF2      ; 123        ; 2        ; SRAM_ADDR[10]                                             ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; AF3      ; 138        ; 3        ; SRAM_DQ[14]                                               ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; AF4      ; 131        ; 3        ; SRAM_DQ[1]                                                ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; AF5      ; 136        ; 3        ; SRAM_ADDR[8]                                              ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; AF6      ; 139        ; 3        ; SRAM_DQ[4]                                                ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; AF7      ; 159        ; 3        ; SRAM_DQ[7]                                                ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; AF8      ; 162        ; 3        ; SRAM_CE_N                                                 ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; AF9      ; 160        ; 3        ; FL_ADDR[11]                                               ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; AF10     ; 166        ; 3        ; FL_DQ[1]                                                  ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; AF11     ; 172        ; 3        ; FL_DQ[4]                                                  ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; AF12     ; 170        ; 3        ; FL_DQ[7]                                                  ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; AF13     ; 178        ; 3        ; SD_DAT1                                                   ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; AF14     ; 184        ; 3        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; AF15     ; 206        ; 4        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; AF16     ; 210        ; 4        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; AF17     ; 216        ; 4        ; HEX7[4]                                                   ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; AF18     ; 226        ; 4        ; HEX5[5]                                                   ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; AF19     ; 232        ; 4        ; HEX4[5]                                                   ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; AF20     ; 236        ; 4        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; AF21     ; 239        ; 4        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; AF22     ; 252        ; 4        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; AF23     ; 262        ; 4        ; HEX3[5]                                                   ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; AF24     ; 233        ; 4        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; AF25     ; 234        ; 4        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; AF26     ; 244        ; 4        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; AF27     ; 277        ; 5        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; AF28     ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; AG1      ;            ; 2        ; VCCIO2                                                    ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
; AG2      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; AG3      ; 133        ; 3        ; SRAM_DQ[15]                                               ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; AG4      ; 141        ; 3        ; SRAM_DQ[2]                                                ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; AG5      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; AG6      ; 145        ; 3        ; SRAM_DQ[5]                                                ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; AG7      ; 150        ; 3        ; FL_CE_N                                                   ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; AG8      ; 156        ; 3        ; FL_OE_N                                                   ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; AG9      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; AG10     ; 167        ; 3        ; FL_DQ[2]                                                  ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; AG11     ; 175        ; 3        ; FL_DQ[5]                                                  ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; AG12     ; 193        ; 3        ; FL_ADDR[0]                                                ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; AG13     ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; AG14     ; 199        ; 3        ; GND+                                                      ;        ;              ;         ; Column I/O ;                 ; --       ; --           ;
; AG15     ; 201        ; 4        ; GND+                                                      ;        ;              ;         ; Column I/O ;                 ; --       ; --           ;
; AG16     ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; AG17     ; 207        ; 4        ; HEX7[2]                                                   ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; AG18     ; 217        ; 4        ; HEX7[5]                                                   ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; AG19     ; 219        ; 4        ; HEX5[4]                                                   ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; AG20     ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; AG21     ; 223        ; 4        ; HEX4[2]                                                   ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; AG22     ; 227        ; 4        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; AG23     ; 229        ; 4        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; AG24     ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; AG25     ; 245        ; 4        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; AG26     ; 270        ; 4        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; AG27     ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; AG28     ;            ; 5        ; VCCIO5                                                    ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
; AH2      ;            ; 3        ; VCCIO3                                                    ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
; AH3      ; 137        ; 3        ; SRAM_DQ[0]                                                ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; AH4      ; 142        ; 3        ; SRAM_DQ[3]                                                ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; AH5      ;            ; 3        ; VCCIO3                                                    ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
; AH6      ; 146        ; 3        ; SRAM_DQ[6]                                                ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; AH7      ; 151        ; 3        ; FL_ADDR[1]                                                ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; AH8      ; 157        ; 3        ; FL_DQ[0]                                                  ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; AH9      ;            ; 3        ; VCCIO3                                                    ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
; AH10     ; 168        ; 3        ; FL_DQ[3]                                                  ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; AH11     ; 176        ; 3        ; FL_DQ[6]                                                  ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; AH12     ; 194        ; 3        ; FL_ADDR[17]                                               ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; AH13     ;            ; 3        ; VCCIO3                                                    ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
; AH14     ; 200        ; 3        ; GND+                                                      ;        ;              ;         ; Column I/O ;                 ; --       ; --           ;
; AH15     ; 202        ; 4        ; GND+                                                      ;        ;              ;         ; Column I/O ;                 ; --       ; --           ;
; AH16     ;            ; 4        ; VCCIO4                                                    ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
; AH17     ; 208        ; 4        ; HEX7[3]                                                   ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; AH18     ; 218        ; 4        ; HEX5[6]                                                   ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; AH19     ; 220        ; 4        ; HEX5[3]                                                   ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; AH20     ;            ; 4        ; VCCIO4                                                    ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
; AH21     ; 224        ; 4        ; HEX4[3]                                                   ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; AH22     ; 228        ; 4        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; AH23     ; 230        ; 4        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; AH24     ;            ; 4        ; VCCIO4                                                    ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
; AH25     ; 246        ; 4        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; AH26     ; 271        ; 4        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; AH27     ;            ; 4        ; VCCIO4                                                    ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
; B1       ;            ; 1        ; VCCIO1                                                    ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
; B2       ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; B3       ; 534        ; 8        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; B4       ; 533        ; 8        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; B5       ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; B6       ; 505        ; 8        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; B7       ; 502        ; 8        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; B8       ; 518        ; 8        ; VGA_G[5]                                                  ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; B9       ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; B10      ; 492        ; 8        ; VGA_B[0]                                                  ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; B11      ; 488        ; 8        ; VGA_B[3]                                                  ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; B12      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; B13      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; B14      ; 473        ; 8        ; GND+                                                      ;        ;              ;         ; Column I/O ;                 ; --       ; --           ;
; B15      ; 471        ; 7        ; GND+                                                      ;        ;              ;         ; Column I/O ;                 ; --       ; --           ;
; B16      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; B17      ; 463        ; 7        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; B18      ; 443        ; 7        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; B19      ; 441        ; 7        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; B20      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; B21      ; 426        ; 7        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; B22      ; 424        ; 7        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; B23      ; 413        ; 7        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; B24      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; B25      ; 406        ; 7        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; B26      ; 401        ; 7        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; B27      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; B28      ;            ; 6        ; VCCIO6                                                    ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
; C1       ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; C2       ; 1          ; 1        ; AUD_ADCLRCK                                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; C3       ; 543        ; 8        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; C4       ; 539        ; 8        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; C5       ; 538        ; 8        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; C6       ; 536        ; 8        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; C7       ; 521        ; 8        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; C8       ; 519        ; 8        ; VGA_G[4]                                                  ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; C9       ; 510        ; 8        ; VGA_G[7]                                                  ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; C10      ; 495        ; 8        ; VGA_SYNC_N                                                ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; C11      ; 508        ; 8        ; VGA_B[2]                                                  ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; C12      ; 478        ; 8        ; VGA_B[5]                                                  ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; C13      ; 474        ; 8        ; VGA_VS                                                    ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; C14      ; 476        ; 8        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; C15      ; 468        ; 7        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; C16      ; 460        ; 7        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; C17      ; 438        ; 7        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; C18      ; 429        ; 7        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; C19      ; 435        ; 7        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; C20      ; 431        ; 7        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; C21      ; 422        ; 7        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; C22      ; 418        ; 7        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; C23      ; 415        ; 7        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; C24      ; 416        ; 7        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; C25      ; 411        ; 7        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; C26      ; 400        ; 7        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; C27      ; 382        ; 6        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; C28      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; D1       ; 3          ; 1        ; AUD_DACDAT                                                ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; D2       ; 2          ; 1        ; AUD_ADCDAT                                                ; input  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; D3       ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; D4       ; 540        ; 8        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; D5       ; 537        ; 8        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; D6       ; 524        ; 8        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; D7       ; 522        ; 8        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; D8       ; 520        ; 8        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; D9       ; 511        ; 8        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; D10      ; 496        ; 8        ; VGA_R[2]                                                  ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; D11      ; 509        ; 8        ; VGA_B[6]                                                  ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; D12      ; 479        ; 8        ; VGA_B[7]                                                  ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; D13      ; 475        ; 8        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; D14      ; 477        ; 8        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; D15      ; 469        ; 7        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; D16      ; 461        ; 7        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; D17      ; 439        ; 7        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; D18      ; 430        ; 7        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; D19      ; 436        ; 7        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; D20      ; 432        ; 7        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; D21      ; 419        ; 7        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; D22      ; 402        ; 7        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; D23      ; 414        ; 7        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; D24      ; 417        ; 7        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; D25      ; 410        ; 7        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; D26      ; 383        ; 6        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; D27      ; 381        ; 6        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; D28      ; 380        ; 6        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; E1       ; 17         ; 1        ; AUD_XCK                                                   ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; E2       ; 16         ; 1        ; ~ALTERA_FLASH_nCE_nCSO~ / RESERVED_INPUT_WITH_WEAK_PULLUP ; input  ; 3.3-V LVTTL  ;         ; Row I/O    ; N               ; no       ; On           ;
; E3       ; 7          ; 1        ; AUD_DACLRCK                                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; E4       ; 541        ; 8        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; E5       ; 542        ; 8        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; E6       ;            ; 8        ; VCCIO8                                                    ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
; E7       ; 523        ; 8        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; E8       ; 526        ; 8        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; E9       ;            ; 8        ; VCCIO8                                                    ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
; E10      ; 516        ; 8        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; E11      ; 499        ; 8        ; VGA_R[1]                                                  ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; E12      ; 497        ; 8        ; VGA_R[0]                                                  ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; E13      ;            ; 8        ; VCCIO8                                                    ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
; E14      ; 486        ; 8        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; E15      ; 467        ; 7        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; E16      ;            ; 7        ; VCCIO7                                                    ; power  ;              ; 2.5V    ; --         ;                 ; --       ; --           ;
; E17      ; 456        ; 7        ; HEX0[2]                                                   ; output ; 2.5 V        ;         ; Column I/O ; Y               ; no       ; Off          ;
; E18      ; 427        ; 7        ; LEDR[5]                                                   ; output ; 2.5 V        ;         ; Column I/O ; Y               ; no       ; Off          ;
; E19      ; 421        ; 7        ; LEDR[2]                                                   ; output ; 2.5 V        ;         ; Column I/O ; Y               ; no       ; Off          ;
; E20      ;            ; 7        ; VCCIO7                                                    ; power  ;              ; 2.5V    ; --         ;                 ; --       ; --           ;
; E21      ; 407        ; 7        ; LEDG[0]                                                   ; output ; 2.5 V        ;         ; Column I/O ; Y               ; no       ; Off          ;
; E22      ; 403        ; 7        ; LEDG[1]                                                   ; output ; 2.5 V        ;         ; Column I/O ; Y               ; no       ; Off          ;
; E23      ;            ; 7        ; VCCIO7                                                    ; power  ;              ; 2.5V    ; --         ;                 ; --       ; --           ;
; E24      ; 433        ; 7        ; LEDG[3]                                                   ; output ; 2.5 V        ;         ; Column I/O ; Y               ; no       ; Off          ;
; E25      ; 434        ; 7        ; LEDG[2]                                                   ; output ; 2.5 V        ;         ; Column I/O ; Y               ; no       ; Off          ;
; E26      ; 378        ; 6        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; E27      ; 375        ; 6        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; E28      ; 374        ; 6        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; F1       ; 19         ; 1        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; F2       ; 18         ; 1        ; AUD_BCLK                                                  ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; F3       ; 8          ; 1        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; F4       ; 10         ; 1        ; ~ALTERA_ASDO_DATA1~ / RESERVED_INPUT_WITH_WEAK_PULLUP     ; input  ; 3.3-V LVTTL  ;         ; Row I/O    ; N               ; no       ; On           ;
; F5       ; 9          ; 1        ; PS2_DAT2                                                  ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; F6       ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; F7       ; 531        ; 8        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; F8       ; 527        ; 8        ; VGA_G[2]                                                  ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; F9       ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; F10      ; 512        ; 8        ; VGA_G[6]                                                  ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; F11      ; 500        ; 8        ; VGA_BLANK_N                                               ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; F12      ; 498        ; 8        ; VGA_R[3]                                                  ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; F13      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; F14      ; 485        ; 8        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; F15      ; 466        ; 7        ; LEDR[14]                                                  ; output ; 2.5 V        ;         ; Column I/O ; Y               ; no       ; Off          ;
; F16      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; F17      ; 455        ; 7        ; LEDG[8]                                                   ; output ; 2.5 V        ;         ; Column I/O ; Y               ; no       ; Off          ;
; F18      ; 428        ; 7        ; LEDR[4]                                                   ; output ; 2.5 V        ;         ; Column I/O ; Y               ; no       ; Off          ;
; F19      ; 420        ; 7        ; LEDR[1]                                                   ; output ; 2.5 V        ;         ; Column I/O ; Y               ; no       ; Off          ;
; F20      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; F21      ; 408        ; 7        ; LEDR[3]                                                   ; output ; 2.5 V        ;         ; Column I/O ; Y               ; no       ; Off          ;
; F22      ; 409        ; 7        ; HEX0[1]                                                   ; output ; 2.5 V        ;         ; Column I/O ; Y               ; no       ; Off          ;
; F23      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; F24      ; 396        ; 6        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; F25      ; 395        ; 6        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; F26      ; 379        ; 6        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; F27      ; 373        ; 6        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; F28      ; 372        ; 6        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; G1       ; 26         ; 1        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; G2       ; 25         ; 1        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; G3       ; 13         ; 1        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; G4       ; 12         ; 1        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; G5       ; 6          ; 1        ; PS2_CLK2                                                  ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; G6       ; 5          ; 1        ; PS2_CLK                                                   ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; G7       ; 530        ; 8        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; G8       ; 528        ; 8        ; VGA_G[0]                                                  ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; G9       ; 525        ; 8        ; UART_TXD                                                  ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; G10      ; 513        ; 8        ; VGA_R[4]                                                  ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; G11      ; 506        ; 8        ; VGA_G[1]                                                  ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; G12      ; 503        ; 8        ; UART_RXD                                                  ; input  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; G13      ; 493        ; 8        ; VGA_HS                                                    ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; G14      ; 484        ; 8        ; UART_RTS                                                  ; input  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; G15      ; 457        ; 7        ; LEDR[15]                                                  ; output ; 2.5 V        ;         ; Column I/O ; Y               ; no       ; Off          ;
; G16      ; 453        ; 7        ; LEDR[16]                                                  ; output ; 2.5 V        ;         ; Column I/O ; Y               ; no       ; Off          ;
; G17      ; 437        ; 7        ; LEDR[9]                                                   ; output ; 2.5 V        ;         ; Column I/O ; Y               ; no       ; Off          ;
; G18      ; 452        ; 7        ; HEX0[0]                                                   ; output ; 2.5 V        ;         ; Column I/O ; Y               ; no       ; Off          ;
; G19      ; 451        ; 7        ; LEDR[0]                                                   ; output ; 2.5 V        ;         ; Column I/O ; Y               ; no       ; Off          ;
; G20      ; 444        ; 7        ; LEDG[5]                                                   ; output ; 2.5 V        ;         ; Column I/O ; Y               ; no       ; Off          ;
; G21      ; 445        ; 7        ; LEDG[7]                                                   ; output ; 2.5 V        ;         ; Column I/O ; Y               ; no       ; Off          ;
; G22      ; 449        ; 7        ; LEDG[6]                                                   ; output ; 2.5 V        ;         ; Column I/O ; Y               ; no       ; Off          ;
; G23      ; 398        ; 6        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; G24      ; 397        ; 6        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; G25      ; 393        ; 6        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; G26      ; 392        ; 6        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; G27      ; 367        ; 6        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; G28      ; 366        ; 6        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; H1       ;            ; 1        ; VCCIO1                                                    ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
; H2       ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; H3       ; 15         ; 1        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; H4       ; 14         ; 1        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; H5       ; 20         ; 1        ; PS2_DAT                                                   ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; H6       ; 11         ; 1        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; H7       ; 4          ; 1        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; --       ; --           ;
; H8       ; 529        ; 8        ; VGA_R[6]                                                  ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; H9       ;            ;          ; GNDA3                                                     ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; H10      ; 514        ; 8        ; VGA_R[7]                                                  ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; H11      ;            ; 8        ; VCCIO8                                                    ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
; H12      ; 507        ; 8        ; VGA_G[3]                                                  ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; H13      ; 494        ; 8        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; H14      ; 480        ; 8        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; H15      ; 464        ; 7        ; LEDR[17]                                                  ; output ; 2.5 V        ;         ; Column I/O ; Y               ; no       ; Off          ;
; H16      ; 459        ; 7        ; LEDR[11]                                                  ; output ; 2.5 V        ;         ; Column I/O ; Y               ; no       ; Off          ;
; H17      ; 454        ; 7        ; LEDR[13]                                                  ; output ; 2.5 V        ;         ; Column I/O ; Y               ; no       ; Off          ;
; H18      ;            ; 7        ; VCCIO7                                                    ; power  ;              ; 2.5V    ; --         ;                 ; --       ; --           ;
; H19      ; 446        ; 7        ; LEDR[7]                                                   ; output ; 2.5 V        ;         ; Column I/O ; Y               ; no       ; Off          ;
; H20      ;            ;          ; GNDA2                                                     ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; H21      ; 448        ; 7        ; LEDG[4]                                                   ; output ; 2.5 V        ;         ; Column I/O ; Y               ; no       ; Off          ;
; H22      ; 399        ; 6        ; HEX0[6]                                                   ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; H23      ; 391        ; 6        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; H24      ; 390        ; 6        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; H25      ; 377        ; 6        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; H26      ; 376        ; 6        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; H27      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; H28      ;            ; 6        ; VCCIO6                                                    ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
; J1       ; 64         ; 1        ; GND+                                                      ;        ;              ;         ; Row I/O    ;                 ; --       ; --           ;
; J2       ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; J3       ; 23         ; 1        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; J4       ; 22         ; 1        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; J5       ; 36         ; 1        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; J6       ; 35         ; 1        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; J7       ; 37         ; 1        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; J8       ;            ; --       ; VCCA3                                                     ; power  ;              ; 2.5V    ; --         ;                 ; --       ; --           ;
; J9       ;            ;          ; VCCD_PLL3                                                 ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
; J10      ; 515        ; 8        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; J11      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; J12      ; 490        ; 8        ; VGA_R[5]                                                  ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; J13      ; 489        ; 8        ; UART_CTS                                                  ; input  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; J14      ; 481        ; 8        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; J15      ; 465        ; 7        ; LEDR[10]                                                  ; output ; 2.5 V        ;         ; Column I/O ; Y               ; no       ; Off          ;
; J16      ; 458        ; 7        ; LEDR[12]                                                  ; output ; 2.5 V        ;         ; Column I/O ; Y               ; no       ; Off          ;
; J17      ; 450        ; 7        ; LEDR[8]                                                   ; output ; 2.5 V        ;         ; Column I/O ; Y               ; no       ; Off          ;
; J18      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; J19      ; 447        ; 7        ; LEDR[6]                                                   ; output ; 2.5 V        ;         ; Column I/O ; Y               ; no       ; Off          ;
; J20      ;            ;          ; VCCD_PLL2                                                 ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
; J21      ;            ; --       ; VCCA2                                                     ; power  ;              ; 2.5V    ; --         ;                 ; --       ; --           ;
; J22      ; 394        ; 6        ; HEX0[5]                                                   ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; J23      ; 387        ; 6        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; J24      ; 386        ; 6        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; J25      ; 365        ; 6        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; J26      ; 364        ; 6        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; J27      ; 338        ; 6        ; GND+                                                      ;        ;              ;         ; Row I/O    ;                 ; --       ; --           ;
; J28      ; 337        ; 6        ; GND+                                                      ;        ;              ;         ; Row I/O    ;                 ; --       ; --           ;
; K1       ; 28         ; 1        ; LCD_DATA[4]                                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; K2       ; 27         ; 1        ; LCD_DATA[5]                                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; K3       ; 30         ; 1        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; K4       ; 29         ; 1        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; K5       ;            ; 1        ; VCCIO1                                                    ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
; K6       ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; K7       ; 38         ; 1        ; LCD_DATA[3]                                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; K8       ; 39         ; 1        ; DRAM_DQM_2                                                ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; K9       ;            ;          ; VCCINT                                                    ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
; K10      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; K11      ;            ;          ; VCCINT                                                    ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
; K12      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; K13      ;            ;          ; VCCINT                                                    ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
; K14      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; K15      ;            ;          ; VCCINT                                                    ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
; K16      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; K17      ;            ;          ; VCCINT                                                    ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
; K18      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; K19      ;            ;          ; VCCINT                                                    ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
; K20      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; K21      ; 389        ; 6        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; K22      ; 388        ; 6        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; K23      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; K24      ;            ; 6        ; VCCIO6                                                    ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
; K25      ; 371        ; 6        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; K26      ; 370        ; 6        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; K27      ; 362        ; 6        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; K28      ; 361        ; 6        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; L1       ; 49         ; 1        ; LCD_DATA[1]                                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; L2       ; 48         ; 1        ; LCD_DATA[2]                                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; L3       ; 32         ; 1        ; LCD_DATA[0]                                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; L4       ; 31         ; 1        ; LCD_EN                                                    ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; L5       ; 21         ; 1        ; LCD_ON                                                    ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; L6       ; 43         ; 1        ; LCD_BLON                                                  ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; L7       ; 42         ; 1        ; DRAM_DQ[23]                                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; L8       ; 40         ; 1        ; DRAM_DQ[17]                                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; L9       ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; L10      ;            ;          ; VCCINT                                                    ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
; L11      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; L12      ;            ;          ; VCCINT                                                    ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
; L13      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; L14      ;            ;          ; VCCINT                                                    ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
; L15      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; L16      ;            ;          ; VCCINT                                                    ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
; L17      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; L18      ;            ;          ; VCCINT                                                    ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
; L19      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; L20      ;            ;          ; VCCINT                                                    ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
; L21      ; 385        ; 6        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; L22      ; 384        ; 6        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; L23      ; 360        ; 6        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; L24      ; 359        ; 6        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; L25      ; 369        ; 6        ; HEX0[4]                                                   ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; L26      ; 363        ; 6        ; HEX0[3]                                                   ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; L27      ; 358        ; 6        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; L28      ; 357        ; 6        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; M1       ; 51         ; 1        ; LCD_RW                                                    ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; M2       ; 50         ; 1        ; LCD_RS                                                    ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; M3       ; 34         ; 1        ; LCD_DATA[6]                                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; M4       ; 33         ; 1        ; DRAM_DQ[21]                                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; M5       ; 41         ; 1        ; LCD_DATA[7]                                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; M6       ; 24         ; 1        ; ^nSTATUS                                                  ;        ;              ;         ; --         ;                 ; --       ; --           ;
; M7       ; 47         ; 1        ; DRAM_DQ[22]                                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; M8       ; 46         ; 1        ; DRAM_DQ[16]                                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; M9       ;            ;          ; VCCINT                                                    ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
; M10      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; M11      ;            ;          ; VCCINT                                                    ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
; M12      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; M13      ;            ;          ; VCCINT                                                    ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
; M14      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; M15      ;            ;          ; VCCINT                                                    ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
; M16      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; M17      ;            ;          ; VCCINT                                                    ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
; M18      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; M19      ;            ;          ; VCCINT                                                    ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
; M20      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; M21      ; 368        ; 6        ; KEY[1]                                                    ; input  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; M22      ; 342        ; 6        ; ^MSEL2                                                    ;        ;              ;         ; --         ;                 ; --       ; --           ;
; M23      ; 344        ; 6        ; KEY[0]                                                    ; input  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; M24      ; 347        ; 6        ; HEX1[0]                                                   ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; M25      ; 356        ; 6        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; M26      ; 355        ; 6        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; M27      ; 354        ; 6        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; M28      ; 353        ; 6        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; N1       ;            ; 1        ; VCCIO1                                                    ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
; N2       ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; N3       ; 45         ; 1        ; DRAM_DQ[19]                                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; N4       ; 44         ; 1        ; DRAM_DQ[20]                                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; N5       ;            ; 1        ; VCCIO1                                                    ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
; N6       ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; N7       ; 56         ; 1        ; ~ALTERA_DATA0~ / RESERVED_INPUT_WITH_WEAK_PULLUP          ; input  ; 3.3-V LVTTL  ;         ; Row I/O    ; N               ; no       ; On           ;
; N8       ; 54         ; 1        ; DRAM_DQM_3                                                ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; N9       ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; N10      ;            ;          ; VCCINT                                                    ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
; N11      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; N12      ;            ;          ; VCCINT                                                    ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
; N13      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; N14      ;            ;          ; VCCINT                                                    ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
; N15      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; N16      ;            ;          ; VCCINT                                                    ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
; N17      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; N18      ;            ;          ; VCCINT                                                    ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
; N19      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; N20      ;            ;          ; VCCINT                                                    ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
; N21      ; 348        ; 6        ; KEY[2]                                                    ; input  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; N22      ; 340        ; 6        ; ^MSEL0                                                    ;        ;              ;         ; --         ;                 ; --       ; --           ;
; N23      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; N24      ;            ; 6        ; VCCIO6                                                    ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
; N25      ; 352        ; 6        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; N26      ; 351        ; 6        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; N27      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; N28      ;            ; 6        ; VCCIO6                                                    ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
; P1       ; 53         ; 1        ; DRAM_ADDR[3]                                              ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; P2       ; 52         ; 1        ; DRAM_DQ[18]                                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; P3       ; 55         ; 1        ; ~ALTERA_DCLK~                                             ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; N               ; no       ; On           ;
; P4       ; 57         ; 1        ; ^nCONFIG                                                  ;        ;              ;         ; --         ;                 ; --       ; --           ;
; P5       ; 59         ; 1        ; #TCK                                                      ; input  ;              ;         ; --         ;                 ; --       ; --           ;
; P6       ; 61         ; 1        ; #TDO                                                      ; output ;              ;         ; --         ;                 ; --       ; --           ;
; P7       ; 58         ; 1        ; #TDI                                                      ; input  ;              ;         ; --         ;                 ; --       ; --           ;
; P8       ; 60         ; 1        ; #TMS                                                      ; input  ;              ;         ; --         ;                 ; --       ; --           ;
; P9       ;            ;          ; VCCINT                                                    ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
; P10      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; P11      ;            ;          ; VCCINT                                                    ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
; P12      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; P13      ;            ;          ; VCCINT                                                    ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
; P14      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; P15      ;            ;          ; VCCINT                                                    ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
; P16      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; P17      ;            ;          ; VCCINT                                                    ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
; P18      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; P19      ;            ;          ; VCCINT                                                    ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
; P20      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; P21      ; 334        ; 5        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; P22      ; 343        ; 6        ; ^MSEL3                                                    ;        ;              ;         ; --         ;                 ; --       ; --           ;
; P23      ; 341        ; 6        ; ^MSEL1                                                    ;        ;              ;         ; --         ;                 ; --       ; --           ;
; P24      ; 339        ; 6        ; ^CONF_DONE                                                ;        ;              ;         ; --         ;                 ; --       ; --           ;
; P25      ; 346        ; 6        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; P26      ; 345        ; 6        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; P27      ; 350        ; 6        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; P28      ; 349        ; 6        ; ~ALTERA_nCEO~ / RESERVED_OUTPUT_OPEN_DRAIN                ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; N               ; no       ; Off          ;
; R1       ; 68         ; 2        ; DRAM_DQ[26]                                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; R2       ; 67         ; 2        ; DRAM_DQ[27]                                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; R3       ; 73         ; 2        ; DRAM_DQ[28]                                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; R4       ; 74         ; 2        ; DRAM_BA_1                                                 ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; R5       ; 77         ; 2        ; DRAM_ADDR[10]                                             ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; R6       ; 70         ; 2        ; DRAM_ADDR[0]                                              ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; R7       ; 69         ; 2        ; DRAM_DQ[25]                                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; R8       ; 62         ; 1        ; ^nCE                                                      ;        ;              ;         ; --         ;                 ; --       ; --           ;
; R9       ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; R10      ;            ;          ; VCCINT                                                    ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
; R11      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; R12      ;            ;          ; VCCINT                                                    ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
; R13      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; R14      ;            ;          ; VCCINT                                                    ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
; R15      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; R16      ;            ;          ; VCCINT                                                    ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
; R17      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; R18      ;            ;          ; VCCINT                                                    ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
; R19      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; R20      ;            ;          ; VCCINT                                                    ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
; R21      ; 333        ; 5        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; R22      ; 332        ; 5        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; R23      ; 331        ; 5        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; R24      ; 330        ; 5        ; KEY[3]                                                    ; input  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; R25      ; 327        ; 5        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; R26      ; 326        ; 5        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; R27      ; 329        ; 5        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; R28      ; 328        ; 5        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; T1       ;            ; 2        ; VCCIO2                                                    ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
; T2       ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; T3       ; 76         ; 2        ; DRAM_DQ[29]                                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; T4       ; 75         ; 2        ; DRAM_CS_N                                                 ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; T5       ;            ; 2        ; VCCIO2                                                    ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
; T6       ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; T7       ; 78         ; 2        ; SRAM_ADDR[9]                                              ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; T8       ; 100        ; 2        ; SRAM_ADDR[19]                                             ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; T9       ;            ;          ; VCCINT                                                    ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
; T10      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; T11      ;            ;          ; VCCINT                                                    ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
; T12      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; T13      ;            ;          ; VCCINT                                                    ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
; T14      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; T15      ;            ;          ; VCCINT                                                    ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
; T16      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; T17      ;            ;          ; VCCINT                                                    ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
; T18      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; T19      ;            ;          ; VCCINT                                                    ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
; T20      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; T21      ; 325        ; 5        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; T22      ; 324        ; 5        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; T23      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; T24      ;            ; 5        ; VCCIO5                                                    ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
; T25      ; 323        ; 5        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; T26      ; 322        ; 5        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; T27      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; T28      ;            ; 5        ; VCCIO5                                                    ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
; U1       ; 80         ; 2        ; DRAM_DQ[31]                                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; U2       ; 79         ; 2        ; DRAM_DQM_0                                                ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; U3       ; 71         ; 2        ; DRAM_DQ[7]                                                ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; U4       ; 72         ; 2        ; DRAM_DQ[30]                                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; U5       ; 90         ; 2        ; DRAM_DQ[24]                                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; U6       ; 89         ; 2        ; DRAM_RAS_N                                                ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; U7       ; 103        ; 2        ; DRAM_BA_0                                                 ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; U8       ; 104        ; 2        ; DRAM_ADDR[2]                                              ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; U9       ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; U10      ;            ;          ; VCCINT                                                    ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
; U11      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; U12      ;            ;          ; VCCINT                                                    ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
; U13      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; U14      ;            ;          ; VCCINT                                                    ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
; U15      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; U16      ;            ;          ; VCCINT                                                    ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
; U17      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; U18      ;            ;          ; VCCINT                                                    ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
; U19      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; U20      ;            ;          ; VCCINT                                                    ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
; U21      ; 319        ; 5        ; HEX3[1]                                                   ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; U22      ; 313        ; 5        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; U23      ; 305        ; 5        ; HEX1[5]                                                   ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; U24      ; 316        ; 5        ; HEX1[6]                                                   ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; U25      ; 315        ; 5        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; U26      ; 314        ; 5        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; U27      ; 318        ; 5        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; U28      ; 317        ; 5        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; V1       ; 84         ; 2        ; DRAM_DQ[6]                                                ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; V2       ; 83         ; 2        ; DRAM_DQ[5]                                                ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; V3       ; 82         ; 2        ; DRAM_DQ[4]                                                ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; V4       ; 81         ; 2        ; DRAM_DQ[2]                                                ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; V5       ; 108        ; 2        ; DRAM_ADDR[4]                                              ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; V6       ; 107        ; 2        ; DRAM_WE_N                                                 ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; V7       ; 110        ; 2        ; DRAM_CAS_N                                                ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; V8       ; 109        ; 2        ; DRAM_ADDR[1]                                              ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; V9       ;            ;          ; VCCINT                                                    ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
; V10      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; V11      ;            ;          ; VCCINT                                                    ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
; V12      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; V13      ;            ;          ; VCCINT                                                    ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
; V14      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; V15      ;            ;          ; VCCINT                                                    ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
; V16      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; V17      ;            ;          ; VCCINT                                                    ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
; V18      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; V19      ;            ;          ; VCCINT                                                    ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
; V20      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; V21      ; 311        ; 5        ; HEX3[0]                                                   ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; V22      ; 312        ; 5        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; V23      ; 309        ; 5        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; V24      ; 308        ; 5        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; V25      ; 307        ; 5        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; V26      ; 306        ; 5        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; V27      ; 304        ; 5        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; V28      ; 303        ; 5        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; W1       ; 88         ; 2        ; DRAM_DQ[3]                                                ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; W2       ; 87         ; 2        ; DRAM_DQ[1]                                                ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; W3       ; 112        ; 2        ; DRAM_DQ[0]                                                ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; W4       ; 111        ; 2        ; DRAM_DQM_1                                                ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; W5       ;            ; 2        ; VCCIO2                                                    ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
; W6       ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; W7       ; 115        ; 2        ; DRAM_ADDR[6]                                              ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; W8       ; 116        ; 2        ; DRAM_ADDR[5]                                              ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; W9       ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; W10      ;            ;          ; VCCINT                                                    ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
; W11      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; W12      ;            ;          ; VCCINT                                                    ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
; W13      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; W14      ;            ;          ; VCCINT                                                    ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
; W15      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; W16      ;            ;          ; VCCINT                                                    ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
; W17      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; W18      ;            ;          ; VCCINT                                                    ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
; W19      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; W20      ;            ;          ; VCCINT                                                    ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
; W21      ; 310        ; 5        ; HEX1[2]                                                   ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; W22      ; 321        ; 5        ; HEX1[3]                                                   ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; W23      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; W24      ;            ; 5        ; VCCIO5                                                    ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
; W25      ; 300        ; 5        ; HEX1[4]                                                   ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; W26      ; 299        ; 5        ; HEX2[3]                                                   ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; W27      ; 301        ; 5        ; HEX2[5]                                                   ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; W28      ; 302        ; 5        ; HEX2[6]                                                   ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; Y1       ; 66         ; 2        ; FL_RY                                                     ; input  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; Y2       ; 65         ; 2        ; CLOCK_50                                                  ; input  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; Y3       ; 92         ; 2        ; DRAM_DQ[8]                                                ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; Y4       ; 91         ; 2        ; DRAM_DQ[9]                                                ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; Y5       ; 114        ; 2        ; DRAM_ADDR[8]                                              ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; Y6       ; 113        ; 2        ; DRAM_ADDR[9]                                              ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; Y7       ; 117        ; 2        ; DRAM_ADDR[12]                                             ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; Y8       ;            ; --       ; VCCA1                                                     ; power  ;              ; 2.5V    ; --         ;                 ; --       ; --           ;
; Y9       ;            ;          ; VCCD_PLL1                                                 ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
; Y10      ; 140        ; 3        ; FL_ADDR[15]                                               ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; Y11      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; Y12      ; 187        ; 3        ; FL_ADDR[4]                                                ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; Y13      ; 189        ; 3        ; FL_ADDR[2]                                                ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; Y14      ; 197        ; 3        ; FL_ADDR[3]                                                ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; Y15      ; 198        ; 3        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; Y16      ; 250        ; 4        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; Y17      ; 249        ; 4        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; Y18      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; Y19      ; 263        ; 4        ; HEX3[6]                                                   ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; Y20      ;            ;          ; VCCD_PLL4                                                 ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
; Y21      ;            ; --       ; VCCA4                                                     ; power  ;              ; 2.5V    ; --         ;                 ; --       ; --           ;
; Y22      ; 320        ; 5        ; HEX1[1]                                                   ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; Y23      ; 288        ; 5        ; SW[17]                                                    ; input  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; Y24      ; 287        ; 5        ; SW[16]                                                    ; input  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; Y25      ; 298        ; 5        ; HEX2[2]                                                   ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; Y26      ; 297        ; 5        ; HEX2[4]                                                   ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; Y27      ; 336        ; 5        ; GND+                                                      ;        ;              ;         ; Row I/O    ;                 ; --       ; --           ;
; Y28      ; 335        ; 5        ; GND+                                                      ;        ;              ;         ; Row I/O    ;                 ; --       ; --           ;
+----------+------------+----------+-----------------------------------------------------------+--------+--------------+---------+------------+-----------------+----------+--------------+
Note: Pin directions (input, output or bidir) are based on device operating in user mode.


+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Fitter Resource Utilization by Entity                                                                                                                                                                                                                                                                                                            ;
+-------------------------------------------+-------------+---------------------------+---------------+-------------+------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+---------------------------------------------------------------------------------------+--------------+
; Compilation Hierarchy Node                ; Logic Cells ; Dedicated Logic Registers ; I/O Registers ; Memory Bits ; M9Ks ; DSP Elements ; DSP 9x9 ; DSP 18x18 ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; Full Hierarchy Name                                                                   ; Library Name ;
+-------------------------------------------+-------------+---------------------------+---------------+-------------+------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+---------------------------------------------------------------------------------------+--------------+
; |Z80SOC                                   ; 3187 (679)  ; 625 (98)                  ; 0 (0)         ; 196600      ; 26   ; 0            ; 0       ; 0         ; 303  ; 0            ; 2562 (580)   ; 89 (18)           ; 536 (58)         ; |Z80SOC                                                                               ; work         ;
;    |LCD:lcd_inst|                         ; 104 (104)   ; 60 (60)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 44 (44)      ; 2 (2)             ; 58 (58)          ; |Z80SOC|LCD:lcd_inst                                                                  ; work         ;
;    |T80se:z80_inst|                       ; 2081 (18)   ; 345 (12)                  ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 1736 (6)     ; 29 (0)            ; 316 (12)         ; |Z80SOC|T80se:z80_inst                                                                ; work         ;
;       |T80:u0|                            ; 2063 (845)  ; 333 (205)                 ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 1730 (656)   ; 29 (11)           ; 304 (189)        ; |Z80SOC|T80se:z80_inst|T80:u0                                                         ; work         ;
;          |T80_ALU:alu|                    ; 458 (458)   ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 458 (458)    ; 0 (0)             ; 0 (0)            ; |Z80SOC|T80se:z80_inst|T80:u0|T80_ALU:alu                                             ; work         ;
;          |T80_MCode:mcode|                ; 475 (475)   ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 471 (471)    ; 0 (0)             ; 4 (4)            ; |Z80SOC|T80se:z80_inst|T80:u0|T80_MCode:mcode                                         ; work         ;
;          |T80_Reg:Regs|                   ; 289 (289)   ; 128 (128)                 ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 145 (145)    ; 18 (18)           ; 126 (126)        ; |Z80SOC|T80se:z80_inst|T80:u0|T80_Reg:Regs                                            ; work         ;
;    |charram:cram|                         ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 16384       ; 2    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |Z80SOC|charram:cram                                                                  ; work         ;
;       |altsyncram:altsyncram_component|   ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 16384       ; 2    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |Z80SOC|charram:cram|altsyncram:altsyncram_component                                  ; work         ;
;          |altsyncram_l4o1:auto_generated| ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 16384       ; 2    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |Z80SOC|charram:cram|altsyncram:altsyncram_component|altsyncram_l4o1:auto_generated   ; work         ;
;    |clk_div:clkdiv_inst|                  ; 41 (41)     ; 36 (36)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 5 (5)        ; 7 (7)             ; 29 (29)          ; |Z80SOC|clk_div:clkdiv_inst                                                           ; work         ;
;    |decoder_7seg:DISPHEX0|                ; 7 (7)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 3 (3)        ; 0 (0)             ; 4 (4)            ; |Z80SOC|decoder_7seg:DISPHEX0                                                         ; work         ;
;    |decoder_7seg:DISPHEX1|                ; 7 (7)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 3 (3)        ; 0 (0)             ; 4 (4)            ; |Z80SOC|decoder_7seg:DISPHEX1                                                         ; work         ;
;    |decoder_7seg:DISPHEX2|                ; 7 (7)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 3 (3)        ; 0 (0)             ; 4 (4)            ; |Z80SOC|decoder_7seg:DISPHEX2                                                         ; work         ;
;    |decoder_7seg:DISPHEX3|                ; 7 (7)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 3 (3)        ; 0 (0)             ; 4 (4)            ; |Z80SOC|decoder_7seg:DISPHEX3                                                         ; work         ;
;    |decoder_7seg:DISPHEX4|                ; 7 (7)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 3 (3)        ; 0 (0)             ; 4 (4)            ; |Z80SOC|decoder_7seg:DISPHEX4                                                         ; work         ;
;    |decoder_7seg:DISPHEX5|                ; 7 (7)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 3 (3)        ; 0 (0)             ; 4 (4)            ; |Z80SOC|decoder_7seg:DISPHEX5                                                         ; work         ;
;    |decoder_7seg:DISPHEX6|                ; 7 (7)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 3 (3)        ; 0 (0)             ; 4 (4)            ; |Z80SOC|decoder_7seg:DISPHEX6                                                         ; work         ;
;    |decoder_7seg:DISPHEX7|                ; 7 (7)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 3 (3)        ; 0 (0)             ; 4 (4)            ; |Z80SOC|decoder_7seg:DISPHEX7                                                         ; work         ;
;    |ps2kbd:ps2_kbd_inst|                  ; 164 (121)   ; 35 (2)                    ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 129 (119)    ; 20 (1)            ; 15 (8)           ; |Z80SOC|ps2kbd:ps2_kbd_inst                                                           ; work         ;
;       |keyboard:kbd_inst|                 ; 43 (43)     ; 33 (33)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 10 (10)      ; 19 (19)           ; 14 (14)          ; |Z80SOC|ps2kbd:ps2_kbd_inst|keyboard:kbd_inst                                         ; work         ;
;    |rom:rom_inst|                         ; 2 (0)       ; 2 (0)                     ; 0 (0)         ; 131072      ; 16   ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 1 (0)             ; 1 (0)            ; |Z80SOC|rom:rom_inst                                                                  ; work         ;
;       |altsyncram:altsyncram_component|   ; 2 (0)       ; 2 (0)                     ; 0 (0)         ; 131072      ; 16   ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 1 (0)             ; 1 (0)            ; |Z80SOC|rom:rom_inst|altsyncram:altsyncram_component                                  ; work         ;
;          |altsyncram_f0a1:auto_generated| ; 2 (2)       ; 2 (2)                     ; 0 (0)         ; 131072      ; 16   ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 1 (1)             ; 1 (1)            ; |Z80SOC|rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated   ; work         ;
;    |video:video_inst|                     ; 93 (18)     ; 49 (0)                    ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 44 (13)      ; 12 (0)            ; 37 (9)           ; |Z80SOC|video:video_inst                                                              ; work         ;
;       |VGA_SYNC:vga_sync_inst|            ; 80 (80)     ; 49 (49)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 31 (31)      ; 12 (12)           ; 37 (37)          ; |Z80SOC|video:video_inst|VGA_SYNC:vga_sync_inst                                       ; work         ;
;    |vram:vram_inst|                       ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 49144       ; 8    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |Z80SOC|vram:vram_inst                                                                ; work         ;
;       |altsyncram:altsyncram_component|   ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 49144       ; 8    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |Z80SOC|vram:vram_inst|altsyncram:altsyncram_component                                ; work         ;
;          |altsyncram_oal1:auto_generated| ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 49144       ; 8    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |Z80SOC|vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated ; work         ;
+-------------------------------------------+-------------+---------------------------+---------------+-------------+------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+---------------------------------------------------------------------------------------+--------------+
Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy.


+-----------------------------------------------------------------------------------------------+
; Delay Chain Summary                                                                           ;
+---------------+----------+---------------+---------------+-----------------------+-----+------+
; Name          ; Pin Type ; Pad to Core 0 ; Pad to Core 1 ; Pad to Input Register ; TCO ; TCOE ;
+---------------+----------+---------------+---------------+-----------------------+-----+------+
; HEX0[0]       ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; HEX0[1]       ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; HEX0[2]       ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; HEX0[3]       ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; HEX0[4]       ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; HEX0[5]       ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; HEX0[6]       ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; HEX1[0]       ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; HEX1[1]       ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; HEX1[2]       ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; HEX1[3]       ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; HEX1[4]       ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; HEX1[5]       ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; HEX1[6]       ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; HEX2[0]       ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; HEX2[1]       ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; HEX2[2]       ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; HEX2[3]       ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; HEX2[4]       ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; HEX2[5]       ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; HEX2[6]       ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; HEX3[0]       ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; HEX3[1]       ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; HEX3[2]       ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; HEX3[3]       ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; HEX3[4]       ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; HEX3[5]       ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; HEX3[6]       ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; HEX4[0]       ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; HEX4[1]       ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; HEX4[2]       ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; HEX4[3]       ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; HEX4[4]       ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; HEX4[5]       ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; HEX4[6]       ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; HEX5[0]       ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; HEX5[1]       ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; HEX5[2]       ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; HEX5[3]       ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; HEX5[4]       ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; HEX5[5]       ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; HEX5[6]       ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; HEX6[0]       ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; HEX6[1]       ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; HEX6[2]       ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; HEX6[3]       ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; HEX6[4]       ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; HEX6[5]       ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; HEX6[6]       ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; HEX7[0]       ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; HEX7[1]       ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; HEX7[2]       ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; HEX7[3]       ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; HEX7[4]       ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; HEX7[5]       ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; HEX7[6]       ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; LEDG[0]       ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; LEDG[1]       ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; LEDG[2]       ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; LEDG[3]       ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; LEDG[4]       ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; LEDG[5]       ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; LEDG[6]       ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; LEDG[7]       ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; LEDG[8]       ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; LEDR[0]       ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; LEDR[1]       ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; LEDR[2]       ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; LEDR[3]       ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; LEDR[4]       ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; LEDR[5]       ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; LEDR[6]       ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; LEDR[7]       ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; LEDR[8]       ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; LEDR[9]       ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; LEDR[10]      ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; LEDR[11]      ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; LEDR[12]      ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; LEDR[13]      ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; LEDR[14]      ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; LEDR[15]      ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; LEDR[16]      ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; LEDR[17]      ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; UART_TXD      ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; UART_RXD      ; Input    ; --            ; --            ; --                    ; --  ; --   ;
; UART_RTS      ; Input    ; --            ; --            ; --                    ; --  ; --   ;
; UART_CTS      ; Input    ; --            ; --            ; --                    ; --  ; --   ;
; DRAM_BA_0     ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; DRAM_BA_1     ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; DRAM_DQM_0    ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; DRAM_DQM_1    ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; DRAM_DQM_2    ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; DRAM_DQM_3    ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; DRAM_WE_N     ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; DRAM_CAS_N    ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; DRAM_RAS_N    ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; DRAM_CS_N     ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; DRAM_ADDR[0]  ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; DRAM_ADDR[1]  ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; DRAM_ADDR[2]  ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; DRAM_ADDR[3]  ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; DRAM_ADDR[4]  ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; DRAM_ADDR[5]  ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; DRAM_ADDR[6]  ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; DRAM_ADDR[7]  ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; DRAM_ADDR[8]  ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; DRAM_ADDR[9]  ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; DRAM_ADDR[10] ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; DRAM_ADDR[11] ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; DRAM_ADDR[12] ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; DRAM_CLK      ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; DRAM_CKE      ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; FL_ADDR[0]    ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; FL_ADDR[1]    ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; FL_ADDR[2]    ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; FL_ADDR[3]    ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; FL_ADDR[4]    ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; FL_ADDR[5]    ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; FL_ADDR[6]    ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; FL_ADDR[7]    ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; FL_ADDR[8]    ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; FL_ADDR[9]    ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; FL_ADDR[10]   ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; FL_ADDR[11]   ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; FL_ADDR[12]   ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; FL_ADDR[13]   ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; FL_ADDR[14]   ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; FL_ADDR[15]   ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; FL_ADDR[16]   ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; FL_ADDR[17]   ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; FL_ADDR[18]   ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; FL_ADDR[19]   ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; FL_ADDR[20]   ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; FL_ADDR[21]   ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; FL_ADDR[22]   ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; FL_RY         ; Input    ; --            ; --            ; --                    ; --  ; --   ;
; FL_WP_N       ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; FL_WE_N       ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; FL_RST_N      ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; FL_OE_N       ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; FL_CE_N       ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; SRAM_ADDR[0]  ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; SRAM_ADDR[1]  ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; SRAM_ADDR[2]  ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; SRAM_ADDR[3]  ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; SRAM_ADDR[4]  ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; SRAM_ADDR[5]  ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; SRAM_ADDR[6]  ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; SRAM_ADDR[7]  ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; SRAM_ADDR[8]  ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; SRAM_ADDR[9]  ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; SRAM_ADDR[10] ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; SRAM_ADDR[11] ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; SRAM_ADDR[12] ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; SRAM_ADDR[13] ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; SRAM_ADDR[14] ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; SRAM_ADDR[15] ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; SRAM_ADDR[16] ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; SRAM_ADDR[17] ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; SRAM_ADDR[18] ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; SRAM_ADDR[19] ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; SRAM_UB_N     ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; SRAM_LB_N     ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; SRAM_WE_N     ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; SRAM_CE_N     ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; SRAM_OE_N     ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; SD_DAT0       ; Input    ; --            ; --            ; --                    ; --  ; --   ;
; SD_DAT3       ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; SD_CMD        ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; SD_CLK        ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; VGA_SYNC_N    ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; VGA_CLK       ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; VGA_BLANK_N   ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; VGA_HS        ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; VGA_VS        ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; VGA_R[0]      ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; VGA_R[1]      ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; VGA_R[2]      ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; VGA_R[3]      ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; VGA_R[4]      ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; VGA_R[5]      ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; VGA_R[6]      ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; VGA_R[7]      ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; VGA_G[0]      ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; VGA_G[1]      ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; VGA_G[2]      ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; VGA_G[3]      ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; VGA_G[4]      ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; VGA_G[5]      ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; VGA_G[6]      ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; VGA_G[7]      ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; VGA_B[0]      ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; VGA_B[1]      ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; VGA_B[2]      ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; VGA_B[3]      ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; VGA_B[4]      ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; VGA_B[5]      ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; VGA_B[6]      ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; VGA_B[7]      ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; AUD_ADCDAT    ; Input    ; --            ; --            ; --                    ; --  ; --   ;
; AUD_DACDAT    ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; AUD_XCK       ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; LCD_RS        ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; LCD_EN        ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; LCD_RW        ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; LCD_ON        ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; LCD_BLON      ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; SD_DAT1       ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
; SD_DAT2       ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
; PS2_DAT2      ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
; PS2_CLK2      ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
; DRAM_DQ[0]    ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
; DRAM_DQ[1]    ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
; DRAM_DQ[2]    ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
; DRAM_DQ[3]    ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
; DRAM_DQ[4]    ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
; DRAM_DQ[5]    ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
; DRAM_DQ[6]    ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
; DRAM_DQ[7]    ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
; DRAM_DQ[8]    ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
; DRAM_DQ[9]    ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
; DRAM_DQ[10]   ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
; DRAM_DQ[11]   ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
; DRAM_DQ[12]   ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
; DRAM_DQ[13]   ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
; DRAM_DQ[14]   ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
; DRAM_DQ[15]   ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
; DRAM_DQ[16]   ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
; DRAM_DQ[17]   ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
; DRAM_DQ[18]   ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
; DRAM_DQ[19]   ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
; DRAM_DQ[20]   ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
; DRAM_DQ[21]   ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
; DRAM_DQ[22]   ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
; DRAM_DQ[23]   ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
; DRAM_DQ[24]   ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
; DRAM_DQ[25]   ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
; DRAM_DQ[26]   ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
; DRAM_DQ[27]   ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
; DRAM_DQ[28]   ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
; DRAM_DQ[29]   ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
; DRAM_DQ[30]   ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
; DRAM_DQ[31]   ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
; FL_DQ[0]      ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
; FL_DQ[1]      ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
; FL_DQ[2]      ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
; FL_DQ[3]      ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
; FL_DQ[4]      ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
; FL_DQ[5]      ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
; FL_DQ[6]      ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
; FL_DQ[7]      ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
; SRAM_DQ[0]    ; Bidir    ; --            ; (6) 1314 ps   ; --                    ; --  ; --   ;
; SRAM_DQ[1]    ; Bidir    ; (6) 1314 ps   ; --            ; --                    ; --  ; --   ;
; SRAM_DQ[2]    ; Bidir    ; (6) 1314 ps   ; --            ; --                    ; --  ; --   ;
; SRAM_DQ[3]    ; Bidir    ; (6) 1314 ps   ; --            ; --                    ; --  ; --   ;
; SRAM_DQ[4]    ; Bidir    ; (6) 1314 ps   ; --            ; --                    ; --  ; --   ;
; SRAM_DQ[5]    ; Bidir    ; (6) 1314 ps   ; --            ; --                    ; --  ; --   ;
; SRAM_DQ[6]    ; Bidir    ; (6) 1314 ps   ; --            ; --                    ; --  ; --   ;
; SRAM_DQ[7]    ; Bidir    ; --            ; (6) 1314 ps   ; --                    ; --  ; --   ;
; SRAM_DQ[8]    ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
; SRAM_DQ[9]    ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
; SRAM_DQ[10]   ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
; SRAM_DQ[11]   ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
; SRAM_DQ[12]   ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
; SRAM_DQ[13]   ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
; SRAM_DQ[14]   ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
; SRAM_DQ[15]   ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
; PS2_DAT       ; Bidir    ; (6) 1314 ps   ; --            ; --                    ; --  ; --   ;
; PS2_CLK       ; Bidir    ; (6) 1314 ps   ; --            ; --                    ; --  ; --   ;
; AUD_ADCLRCK   ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
; AUD_DACLRCK   ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
; AUD_BCLK      ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
; LCD_DATA[0]   ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
; LCD_DATA[1]   ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
; LCD_DATA[2]   ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
; LCD_DATA[3]   ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
; LCD_DATA[4]   ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
; LCD_DATA[5]   ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
; LCD_DATA[6]   ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
; LCD_DATA[7]   ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
; SW[17]        ; Input    ; --            ; (6) 1314 ps   ; --                    ; --  ; --   ;
; CLOCK_50      ; Input    ; (0) 0 ps      ; --            ; --                    ; --  ; --   ;
; SW[16]        ; Input    ; (0) 0 ps      ; --            ; --                    ; --  ; --   ;
; SW[1]         ; Input    ; (6) 1314 ps   ; --            ; --                    ; --  ; --   ;
; SW[9]         ; Input    ; (6) 1314 ps   ; --            ; --                    ; --  ; --   ;
; KEY[1]        ; Input    ; (6) 1314 ps   ; --            ; --                    ; --  ; --   ;
; SW[8]         ; Input    ; --            ; (6) 1314 ps   ; --                    ; --  ; --   ;
; SW[0]         ; Input    ; (6) 1314 ps   ; --            ; --                    ; --  ; --   ;
; KEY[0]        ; Input    ; --            ; (6) 1314 ps   ; --                    ; --  ; --   ;
; SW[15]        ; Input    ; (6) 1314 ps   ; --            ; --                    ; --  ; --   ;
; SW[7]         ; Input    ; (6) 1314 ps   ; --            ; --                    ; --  ; --   ;
; SW[14]        ; Input    ; (6) 1314 ps   ; --            ; --                    ; --  ; --   ;
; SW[6]         ; Input    ; (6) 1314 ps   ; --            ; --                    ; --  ; --   ;
; SW[10]        ; Input    ; (6) 1314 ps   ; --            ; --                    ; --  ; --   ;
; SW[2]         ; Input    ; --            ; (6) 1314 ps   ; --                    ; --  ; --   ;
; KEY[2]        ; Input    ; (6) 1314 ps   ; --            ; --                    ; --  ; --   ;
; SW[13]        ; Input    ; (6) 1314 ps   ; --            ; --                    ; --  ; --   ;
; SW[5]         ; Input    ; (6) 1314 ps   ; --            ; --                    ; --  ; --   ;
; SW[12]        ; Input    ; (6) 1314 ps   ; --            ; --                    ; --  ; --   ;
; SW[4]         ; Input    ; (6) 1314 ps   ; --            ; --                    ; --  ; --   ;
; SW[3]         ; Input    ; (6) 1314 ps   ; --            ; --                    ; --  ; --   ;
; SW[11]        ; Input    ; (6) 1314 ps   ; --            ; --                    ; --  ; --   ;
; KEY[3]        ; Input    ; (6) 1314 ps   ; --            ; --                    ; --  ; --   ;
+---------------+----------+---------------+---------------+-----------------------+-----+------+


+----------------------------------------------------------------------------------------------+
; Pad To Core Delay Chain Fanout                                                               ;
+----------------------------------------------------------------+-------------------+---------+
; Source Pin / Fanout                                            ; Pad To Core Index ; Setting ;
+----------------------------------------------------------------+-------------------+---------+
; UART_RXD                                                       ;                   ;         ;
; UART_RTS                                                       ;                   ;         ;
; UART_CTS                                                       ;                   ;         ;
; FL_RY                                                          ;                   ;         ;
; SD_DAT0                                                        ;                   ;         ;
; AUD_ADCDAT                                                     ;                   ;         ;
; SD_DAT1                                                        ;                   ;         ;
; SD_DAT2                                                        ;                   ;         ;
; PS2_DAT2                                                       ;                   ;         ;
; PS2_CLK2                                                       ;                   ;         ;
; DRAM_DQ[0]                                                     ;                   ;         ;
; DRAM_DQ[1]                                                     ;                   ;         ;
; DRAM_DQ[2]                                                     ;                   ;         ;
; DRAM_DQ[3]                                                     ;                   ;         ;
; DRAM_DQ[4]                                                     ;                   ;         ;
; DRAM_DQ[5]                                                     ;                   ;         ;
; DRAM_DQ[6]                                                     ;                   ;         ;
; DRAM_DQ[7]                                                     ;                   ;         ;
; DRAM_DQ[8]                                                     ;                   ;         ;
; DRAM_DQ[9]                                                     ;                   ;         ;
; DRAM_DQ[10]                                                    ;                   ;         ;
; DRAM_DQ[11]                                                    ;                   ;         ;
; DRAM_DQ[12]                                                    ;                   ;         ;
; DRAM_DQ[13]                                                    ;                   ;         ;
; DRAM_DQ[14]                                                    ;                   ;         ;
; DRAM_DQ[15]                                                    ;                   ;         ;
; DRAM_DQ[16]                                                    ;                   ;         ;
; DRAM_DQ[17]                                                    ;                   ;         ;
; DRAM_DQ[18]                                                    ;                   ;         ;
; DRAM_DQ[19]                                                    ;                   ;         ;
; DRAM_DQ[20]                                                    ;                   ;         ;
; DRAM_DQ[21]                                                    ;                   ;         ;
; DRAM_DQ[22]                                                    ;                   ;         ;
; DRAM_DQ[23]                                                    ;                   ;         ;
; DRAM_DQ[24]                                                    ;                   ;         ;
; DRAM_DQ[25]                                                    ;                   ;         ;
; DRAM_DQ[26]                                                    ;                   ;         ;
; DRAM_DQ[27]                                                    ;                   ;         ;
; DRAM_DQ[28]                                                    ;                   ;         ;
; DRAM_DQ[29]                                                    ;                   ;         ;
; DRAM_DQ[30]                                                    ;                   ;         ;
; DRAM_DQ[31]                                                    ;                   ;         ;
; FL_DQ[0]                                                       ;                   ;         ;
; FL_DQ[1]                                                       ;                   ;         ;
; FL_DQ[2]                                                       ;                   ;         ;
; FL_DQ[3]                                                       ;                   ;         ;
; FL_DQ[4]                                                       ;                   ;         ;
; FL_DQ[5]                                                       ;                   ;         ;
; FL_DQ[6]                                                       ;                   ;         ;
; FL_DQ[7]                                                       ;                   ;         ;
; SRAM_DQ[0]                                                     ;                   ;         ;
;      - DI_CPU[0]~49                                            ; 1                 ; 6       ;
; SRAM_DQ[1]                                                     ;                   ;         ;
;      - DI_CPU[1]~31                                            ; 0                 ; 6       ;
; SRAM_DQ[2]                                                     ;                   ;         ;
;      - DI_CPU[2]~77                                            ; 0                 ; 6       ;
; SRAM_DQ[3]                                                     ;                   ;         ;
;      - DI_CPU[3]~100                                           ; 0                 ; 6       ;
; SRAM_DQ[4]                                                     ;                   ;         ;
;      - DI_CPU[4]~94                                            ; 0                 ; 6       ;
; SRAM_DQ[5]                                                     ;                   ;         ;
;      - DI_CPU[5]~85                                            ; 0                 ; 6       ;
; SRAM_DQ[6]                                                     ;                   ;         ;
;      - DI_CPU[6]~71                                            ; 0                 ; 6       ;
; SRAM_DQ[7]                                                     ;                   ;         ;
;      - DI_CPU[7]~60                                            ; 1                 ; 6       ;
; SRAM_DQ[8]                                                     ;                   ;         ;
; SRAM_DQ[9]                                                     ;                   ;         ;
; SRAM_DQ[10]                                                    ;                   ;         ;
; SRAM_DQ[11]                                                    ;                   ;         ;
; SRAM_DQ[12]                                                    ;                   ;         ;
; SRAM_DQ[13]                                                    ;                   ;         ;
; SRAM_DQ[14]                                                    ;                   ;         ;
; SRAM_DQ[15]                                                    ;                   ;         ;
; PS2_DAT                                                        ;                   ;         ;
;      - ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR~0       ; 0                 ; 6       ;
;      - ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set~0       ; 0                 ; 6       ;
;      - ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[8]~feeder ; 0                 ; 6       ;
; PS2_CLK                                                        ;                   ;         ;
;      - ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|filter[7]         ; 0                 ; 6       ;
; AUD_ADCLRCK                                                    ;                   ;         ;
; AUD_DACLRCK                                                    ;                   ;         ;
; AUD_BCLK                                                       ;                   ;         ;
; LCD_DATA[0]                                                    ;                   ;         ;
; LCD_DATA[1]                                                    ;                   ;         ;
; LCD_DATA[2]                                                    ;                   ;         ;
; LCD_DATA[3]                                                    ;                   ;         ;
; LCD_DATA[4]                                                    ;                   ;         ;
; LCD_DATA[5]                                                    ;                   ;         ;
; LCD_DATA[6]                                                    ;                   ;         ;
; LCD_DATA[7]                                                    ;                   ;         ;
; SW[17]                                                         ;                   ;         ;
;      - T80se:z80_inst|DI_Reg[0]                                ; 1                 ; 6       ;
;      - T80se:z80_inst|T80:u0|XY_Ind                            ; 1                 ; 6       ;
;      - T80se:z80_inst|T80:u0|XY_State[0]                       ; 1                 ; 6       ;
;      - T80se:z80_inst|T80:u0|XY_State[1]                       ; 1                 ; 6       ;
;      - T80se:z80_inst|T80:u0|ISet[0]                           ; 1                 ; 6       ;
;      - T80se:z80_inst|T80:u0|ISet[1]                           ; 1                 ; 6       ;
;      - T80se:z80_inst|T80:u0|IR[0]                             ; 1                 ; 6       ;
;      - T80se:z80_inst|T80:u0|IR[1]                             ; 1                 ; 6       ;
;      - T80se:z80_inst|T80:u0|IR[2]                             ; 1                 ; 6       ;
;      - T80se:z80_inst|T80:u0|IR[3]                             ; 1                 ; 6       ;
;      - T80se:z80_inst|T80:u0|IR[4]                             ; 1                 ; 6       ;
;      - T80se:z80_inst|T80:u0|IR[5]                             ; 1                 ; 6       ;
;      - T80se:z80_inst|T80:u0|IR[6]                             ; 1                 ; 6       ;
;      - T80se:z80_inst|T80:u0|IR[7]                             ; 1                 ; 6       ;
;      - T80se:z80_inst|T80:u0|TmpAddr[0]                        ; 1                 ; 6       ;
;      - T80se:z80_inst|T80:u0|A[0]                              ; 1                 ; 6       ;
;      - T80se:z80_inst|T80:u0|A[1]                              ; 1                 ; 6       ;
;      - T80se:z80_inst|T80:u0|A[2]                              ; 1                 ; 6       ;
;      - T80se:z80_inst|T80:u0|A[3]                              ; 1                 ; 6       ;
;      - T80se:z80_inst|T80:u0|A[4]                              ; 1                 ; 6       ;
;      - T80se:z80_inst|T80:u0|A[5]                              ; 1                 ; 6       ;
;      - T80se:z80_inst|T80:u0|A[6]                              ; 1                 ; 6       ;
;      - T80se:z80_inst|T80:u0|A[7]                              ; 1                 ; 6       ;
;      - T80se:z80_inst|T80:u0|A[8]                              ; 1                 ; 6       ;
;      - T80se:z80_inst|T80:u0|A[9]                              ; 1                 ; 6       ;
;      - T80se:z80_inst|T80:u0|A[10]                             ; 1                 ; 6       ;
;      - T80se:z80_inst|T80:u0|A[11]                             ; 1                 ; 6       ;
;      - T80se:z80_inst|T80:u0|A[12]                             ; 1                 ; 6       ;
;      - T80se:z80_inst|T80:u0|A[13]                             ; 1                 ; 6       ;
;      - T80se:z80_inst|T80:u0|A[14]                             ; 1                 ; 6       ;
;      - T80se:z80_inst|T80:u0|A[15]                             ; 1                 ; 6       ;
;      - T80se:z80_inst|T80:u0|PC[0]                             ; 1                 ; 6       ;
;      - T80se:z80_inst|T80:u0|TState[2]                         ; 1                 ; 6       ;
;      - T80se:z80_inst|T80:u0|MCycle[1]                         ; 1                 ; 6       ;
;      - T80se:z80_inst|T80:u0|MCycle[2]                         ; 1                 ; 6       ;
;      - LCD:lcd_inst|LCD_RS                                     ; 1                 ; 6       ;
;      - ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR         ; 1                 ; 6       ;
;      - ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set         ; 1                 ; 6       ;
;      - T80se:z80_inst|T80:u0|R[0]                              ; 1                 ; 6       ;
;      - T80se:z80_inst|T80:u0|R[1]                              ; 1                 ; 6       ;
;      - T80se:z80_inst|T80:u0|R[2]                              ; 1                 ; 6       ;
;      - T80se:z80_inst|T80:u0|R[3]                              ; 1                 ; 6       ;
;      - T80se:z80_inst|T80:u0|R[4]                              ; 1                 ; 6       ;
;      - T80se:z80_inst|T80:u0|R[5]                              ; 1                 ; 6       ;
;      - T80se:z80_inst|T80:u0|R[6]                              ; 1                 ; 6       ;
;      - T80se:z80_inst|T80:u0|DO[0]                             ; 1                 ; 6       ;
;      - T80se:z80_inst|T80:u0|DO[1]                             ; 1                 ; 6       ;
;      - T80se:z80_inst|T80:u0|DO[2]                             ; 1                 ; 6       ;
;      - T80se:z80_inst|T80:u0|DO[3]                             ; 1                 ; 6       ;
;      - T80se:z80_inst|T80:u0|DO[4]                             ; 1                 ; 6       ;
;      - T80se:z80_inst|T80:u0|DO[5]                             ; 1                 ; 6       ;
;      - T80se:z80_inst|T80:u0|DO[6]                             ; 1                 ; 6       ;
;      - T80se:z80_inst|T80:u0|DO[7]                             ; 1                 ; 6       ;
;      - T80se:z80_inst|T80:u0|PC[8]                             ; 1                 ; 6       ;
;      - T80se:z80_inst|T80:u0|PC[9]                             ; 1                 ; 6       ;
;      - T80se:z80_inst|T80:u0|PC[10]                            ; 1                 ; 6       ;
;      - T80se:z80_inst|T80:u0|PC[11]                            ; 1                 ; 6       ;
;      - T80se:z80_inst|T80:u0|PC[12]                            ; 1                 ; 6       ;
;      - T80se:z80_inst|T80:u0|PC[13]                            ; 1                 ; 6       ;
;      - T80se:z80_inst|T80:u0|PC[14]                            ; 1                 ; 6       ;
;      - T80se:z80_inst|T80:u0|PC[15]                            ; 1                 ; 6       ;
;      - T80se:z80_inst|T80:u0|MCycles[0]                        ; 1                 ; 6       ;
;      - T80se:z80_inst|T80:u0|MCycles[1]                        ; 1                 ; 6       ;
;      - T80se:z80_inst|WR_n                                     ; 1                 ; 6       ;
;      - T80se:z80_inst|MREQ_n                                   ; 1                 ; 6       ;
;      - T80se:z80_inst|RD_n                                     ; 1                 ; 6       ;
;      - LCD:lcd_inst|LCD_EN                                     ; 1                 ; 6       ;
;      - T80se:z80_inst|IORQ_n                                   ; 1                 ; 6       ;
;      - T80se:z80_inst|T80:u0|MCycle[0]                         ; 1                 ; 6       ;
;      - T80se:z80_inst|T80:u0|F[6]                              ; 1                 ; 6       ;
;      - T80se:z80_inst|T80:u0|F[0]                              ; 1                 ; 6       ;
;      - T80se:z80_inst|T80:u0|F[2]                              ; 1                 ; 6       ;
;      - T80se:z80_inst|T80:u0|F[7]                              ; 1                 ; 6       ;
;      - T80se:z80_inst|T80:u0|SP[0]                             ; 1                 ; 6       ;
;      - T80se:z80_inst|T80:u0|TState[1]                         ; 1                 ; 6       ;
;      - T80se:z80_inst|T80:u0|TState[0]                         ; 1                 ; 6       ;
;      - T80se:z80_inst|T80:u0|TmpAddr[1]                        ; 1                 ; 6       ;
;      - T80se:z80_inst|T80:u0|SP[1]                             ; 1                 ; 6       ;
;      - T80se:z80_inst|DI_Reg[1]                                ; 1                 ; 6       ;
;      - T80se:z80_inst|T80:u0|PC[1]                             ; 1                 ; 6       ;
;      - T80se:z80_inst|T80:u0|TmpAddr[2]                        ; 1                 ; 6       ;
;      - T80se:z80_inst|T80:u0|SP[2]                             ; 1                 ; 6       ;
;      - T80se:z80_inst|DI_Reg[2]                                ; 1                 ; 6       ;
;      - T80se:z80_inst|T80:u0|PC[2]                             ; 1                 ; 6       ;
;      - T80se:z80_inst|T80:u0|TmpAddr[3]                        ; 1                 ; 6       ;
;      - T80se:z80_inst|T80:u0|SP[3]                             ; 1                 ; 6       ;
;      - T80se:z80_inst|DI_Reg[3]                                ; 1                 ; 6       ;
;      - T80se:z80_inst|T80:u0|PC[3]                             ; 1                 ; 6       ;
;      - T80se:z80_inst|T80:u0|TmpAddr[4]                        ; 1                 ; 6       ;
;      - T80se:z80_inst|T80:u0|SP[4]                             ; 1                 ; 6       ;
;      - T80se:z80_inst|DI_Reg[4]                                ; 1                 ; 6       ;
;      - T80se:z80_inst|T80:u0|PC[4]                             ; 1                 ; 6       ;
;      - T80se:z80_inst|T80:u0|TmpAddr[5]                        ; 1                 ; 6       ;
;      - T80se:z80_inst|T80:u0|SP[5]                             ; 1                 ; 6       ;
;      - T80se:z80_inst|DI_Reg[5]                                ; 1                 ; 6       ;
;      - T80se:z80_inst|T80:u0|PC[5]                             ; 1                 ; 6       ;
;      - T80se:z80_inst|T80:u0|TmpAddr[6]                        ; 1                 ; 6       ;
;      - T80se:z80_inst|T80:u0|SP[6]                             ; 1                 ; 6       ;
;      - T80se:z80_inst|DI_Reg[6]                                ; 1                 ; 6       ;
;      - T80se:z80_inst|T80:u0|PC[6]                             ; 1                 ; 6       ;
;      - T80se:z80_inst|T80:u0|TmpAddr[7]                        ; 1                 ; 6       ;
;      - T80se:z80_inst|T80:u0|SP[7]                             ; 1                 ; 6       ;
;      - T80se:z80_inst|DI_Reg[7]                                ; 1                 ; 6       ;
;      - T80se:z80_inst|T80:u0|PC[7]                             ; 1                 ; 6       ;
;      - T80se:z80_inst|T80:u0|R[7]                              ; 1                 ; 6       ;
;      - T80se:z80_inst|T80:u0|TmpAddr[8]                        ; 1                 ; 6       ;
;      - T80se:z80_inst|T80:u0|SP[8]                             ; 1                 ; 6       ;
;      - T80se:z80_inst|T80:u0|ACC[0]                            ; 1                 ; 6       ;
;      - T80se:z80_inst|T80:u0|I[0]                              ; 1                 ; 6       ;
;      - T80se:z80_inst|T80:u0|TmpAddr[9]                        ; 1                 ; 6       ;
;      - T80se:z80_inst|T80:u0|SP[9]                             ; 1                 ; 6       ;
;      - T80se:z80_inst|T80:u0|ACC[1]                            ; 1                 ; 6       ;
;      - T80se:z80_inst|T80:u0|I[1]                              ; 1                 ; 6       ;
;      - T80se:z80_inst|T80:u0|TmpAddr[10]                       ; 1                 ; 6       ;
;      - T80se:z80_inst|T80:u0|SP[10]                            ; 1                 ; 6       ;
;      - T80se:z80_inst|T80:u0|ACC[2]                            ; 1                 ; 6       ;
;      - T80se:z80_inst|T80:u0|I[2]                              ; 1                 ; 6       ;
;      - T80se:z80_inst|T80:u0|TmpAddr[11]                       ; 1                 ; 6       ;
;      - T80se:z80_inst|T80:u0|SP[11]                            ; 1                 ; 6       ;
;      - T80se:z80_inst|T80:u0|ACC[3]                            ; 1                 ; 6       ;
;      - T80se:z80_inst|T80:u0|I[3]                              ; 1                 ; 6       ;
;      - T80se:z80_inst|T80:u0|TmpAddr[12]                       ; 1                 ; 6       ;
;      - T80se:z80_inst|T80:u0|SP[12]                            ; 1                 ; 6       ;
;      - T80se:z80_inst|T80:u0|ACC[4]                            ; 1                 ; 6       ;
;      - T80se:z80_inst|T80:u0|I[4]                              ; 1                 ; 6       ;
;      - T80se:z80_inst|T80:u0|TmpAddr[13]                       ; 1                 ; 6       ;
;      - T80se:z80_inst|T80:u0|SP[13]                            ; 1                 ; 6       ;
;      - T80se:z80_inst|T80:u0|ACC[5]                            ; 1                 ; 6       ;
;      - T80se:z80_inst|T80:u0|I[5]                              ; 1                 ; 6       ;
;      - T80se:z80_inst|T80:u0|TmpAddr[14]                       ; 1                 ; 6       ;
;      - T80se:z80_inst|T80:u0|SP[14]                            ; 1                 ; 6       ;
;      - T80se:z80_inst|T80:u0|ACC[6]                            ; 1                 ; 6       ;
;      - T80se:z80_inst|T80:u0|I[6]                              ; 1                 ; 6       ;
;      - T80se:z80_inst|T80:u0|TmpAddr[15]                       ; 1                 ; 6       ;
;      - T80se:z80_inst|T80:u0|SP[15]                            ; 1                 ; 6       ;
;      - T80se:z80_inst|T80:u0|ACC[7]                            ; 1                 ; 6       ;
;      - T80se:z80_inst|T80:u0|I[7]                              ; 1                 ; 6       ;
;      - LCD:lcd_inst|state.print_string                         ; 1                 ; 6       ;
;      - LCD:lcd_inst|state.drop_LCD_EN                          ; 1                 ; 6       ;
;      - LCD:lcd_inst|state.hold                                 ; 1                 ; 6       ;
;      - LCD:lcd_inst|char_count_sig[2]~0                        ; 1                 ; 6       ;
;      - T80se:z80_inst|T80:u0|ALU_Op_r[0]                       ; 1                 ; 6       ;
;      - T80se:z80_inst|T80:u0|ALU_Op_r[1]                       ; 1                 ; 6       ;
;      - T80se:z80_inst|T80:u0|ALU_Op_r[3]                       ; 1                 ; 6       ;
;      - T80se:z80_inst|T80:u0|ALU_Op_r[2]                       ; 1                 ; 6       ;
;      - T80se:z80_inst|T80:u0|Save_ALU_r                        ; 1                 ; 6       ;
;      - T80se:z80_inst|T80:u0|Read_To_Reg_r[0]                  ; 1                 ; 6       ;
;      - T80se:z80_inst|T80:u0|Read_To_Reg_r[4]                  ; 1                 ; 6       ;
;      - T80se:z80_inst|T80:u0|Read_To_Reg_r[2]                  ; 1                 ; 6       ;
;      - T80se:z80_inst|T80:u0|Read_To_Reg_r[1]                  ; 1                 ; 6       ;
;      - T80se:z80_inst|T80:u0|Read_To_Reg_r[3]                  ; 1                 ; 6       ;
;      - T80se:z80_inst|T80:u0|F[1]                              ; 1                 ; 6       ;
;      - T80se:z80_inst|T80:u0|F[4]                              ; 1                 ; 6       ;
;      - T80se:z80_inst|T80:u0|Alternate                         ; 1                 ; 6       ;
;      - T80se:z80_inst|T80:u0|Halt_FF                           ; 1                 ; 6       ;
;      - T80se:z80_inst|T80:u0|No_BTR                            ; 1                 ; 6       ;
;      - T80se:z80_inst|T80:u0|MCycles[2]                        ; 1                 ; 6       ;
;      - T80se:z80_inst|T80:u0|Pre_XY_F_M[1]                     ; 1                 ; 6       ;
;      - T80se:z80_inst|T80:u0|Pre_XY_F_M[0]                     ; 1                 ; 6       ;
;      - T80se:z80_inst|T80:u0|Pre_XY_F_M[2]                     ; 1                 ; 6       ;
;      - T80se:z80_inst|T80:u0|Fp[6]                             ; 1                 ; 6       ;
;      - T80se:z80_inst|T80:u0|Z16_r                             ; 1                 ; 6       ;
;      - T80se:z80_inst|T80:u0|Arith16_r                         ; 1                 ; 6       ;
;      - T80se:z80_inst|T80:u0|Fp[0]                             ; 1                 ; 6       ;
;      - T80se:z80_inst|T80:u0|PreserveC_r                       ; 1                 ; 6       ;
;      - T80se:z80_inst|T80:u0|Fp[2]                             ; 1                 ; 6       ;
;      - T80se:z80_inst|T80:u0|IntE_FF2                          ; 1                 ; 6       ;
;      - T80se:z80_inst|T80:u0|Fp[7]                             ; 1                 ; 6       ;
;      - T80se:z80_inst|T80:u0|BTR_r                             ; 1                 ; 6       ;
;      - T80se:z80_inst|T80:u0|Ap[0]                             ; 1                 ; 6       ;
;      - T80se:z80_inst|T80:u0|Ap[1]                             ; 1                 ; 6       ;
;      - T80se:z80_inst|T80:u0|Ap[2]                             ; 1                 ; 6       ;
;      - T80se:z80_inst|T80:u0|Ap[3]                             ; 1                 ; 6       ;
;      - T80se:z80_inst|T80:u0|Ap[4]                             ; 1                 ; 6       ;
;      - T80se:z80_inst|T80:u0|Ap[5]                             ; 1                 ; 6       ;
;      - T80se:z80_inst|T80:u0|Ap[6]                             ; 1                 ; 6       ;
;      - T80se:z80_inst|T80:u0|Ap[7]                             ; 1                 ; 6       ;
;      - LCD:lcd_inst|next_command.print_string                  ; 1                 ; 6       ;
;      - LCD:lcd_inst|clk_400hz_enable~0                         ; 1                 ; 6       ;
;      - LCD:lcd_inst|data_bus_value[0]                          ; 1                 ; 6       ;
;      - LCD:lcd_inst|data_bus_value[1]                          ; 1                 ; 6       ;
;      - LCD:lcd_inst|data_bus_value[2]                          ; 1                 ; 6       ;
;      - LCD:lcd_inst|data_bus_value[3]                          ; 1                 ; 6       ;
;      - LCD:lcd_inst|data_bus_value[4]                          ; 1                 ; 6       ;
;      - LCD:lcd_inst|data_bus_value[5]                          ; 1                 ; 6       ;
;      - LCD:lcd_inst|data_bus_value[6]                          ; 1                 ; 6       ;
;      - LCD:lcd_inst|data_bus_value[7]                          ; 1                 ; 6       ;
;      - T80se:z80_inst|T80:u0|F[5]                              ; 1                 ; 6       ;
;      - T80se:z80_inst|T80:u0|Fp[1]                             ; 1                 ; 6       ;
;      - T80se:z80_inst|T80:u0|Fp[4]                             ; 1                 ; 6       ;
;      - T80se:z80_inst|T80:u0|F[3]                              ; 1                 ; 6       ;
;      - LCD:lcd_inst|state.mode_set                             ; 1                 ; 6       ;
;      - LCD:lcd_inst|state.line2                                ; 1                 ; 6       ;
;      - LCD:lcd_inst|state.return_home                          ; 1                 ; 6       ;
;      - LCD:lcd_inst|clk_count_400hz[13]~46                     ; 1                 ; 6       ;
;      - LCD:lcd_inst|state.display_clear                        ; 1                 ; 6       ;
;      - LCD:lcd_inst|state.display_on                           ; 1                 ; 6       ;
;      - LCD:lcd_inst|state.reset1                               ; 1                 ; 6       ;
;      - LCD:lcd_inst|state.reset3                               ; 1                 ; 6       ;
;      - LCD:lcd_inst|state.display_off                          ; 1                 ; 6       ;
;      - LCD:lcd_inst|state.func_set                             ; 1                 ; 6       ;
;      - LCD:lcd_inst|state.reset2                               ; 1                 ; 6       ;
;      - T80se:z80_inst|T80:u0|Fp[5]                             ; 1                 ; 6       ;
;      - T80se:z80_inst|T80:u0|Fp[3]                             ; 1                 ; 6       ;
;      - LCD:lcd_inst|next_command.mode_set                      ; 1                 ; 6       ;
;      - LCD:lcd_inst|next_command.line2                         ; 1                 ; 6       ;
;      - LCD:lcd_inst|next_command.return_home                   ; 1                 ; 6       ;
;      - LCD:lcd_inst|next_command.display_clear                 ; 1                 ; 6       ;
;      - LCD:lcd_inst|next_command.display_on                    ; 1                 ; 6       ;
;      - LCD:lcd_inst|next_command.reset3                        ; 1                 ; 6       ;
;      - LCD:lcd_inst|next_command.display_off                   ; 1                 ; 6       ;
;      - LCD:lcd_inst|next_command.func_set                      ; 1                 ; 6       ;
;      - LCD:lcd_inst|next_command.reset2                        ; 1                 ; 6       ;
;      - ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[7]~0    ; 1                 ; 6       ;
;      - ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[7]~0      ; 1                 ; 6       ;
;      - ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT~0           ; 1                 ; 6       ;
;      - ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]~1        ; 1                 ; 6       ;
;      - ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT~2           ; 1                 ; 6       ;
;      - ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT~3           ; 1                 ; 6       ;
;      - ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT~4           ; 1                 ; 6       ;
; CLOCK_50                                                       ;                   ;         ;
; SW[16]                                                         ;                   ;         ;
;      - Clk_Z80                                                 ; 0                 ; 0       ;
; SW[1]                                                          ;                   ;         ;
;      - DI_CPU[1]~31                                            ; 0                 ; 6       ;
; SW[9]                                                          ;                   ;         ;
;      - DI_CPU[1]~30                                            ; 0                 ; 6       ;
; KEY[1]                                                         ;                   ;         ;
;      - DI_CPU[1]~30                                            ; 0                 ; 6       ;
; SW[8]                                                          ;                   ;         ;
;      - DI_CPU[0]~49                                            ; 1                 ; 6       ;
; SW[0]                                                          ;                   ;         ;
;      - DI_CPU[0]~48                                            ; 0                 ; 6       ;
; KEY[0]                                                         ;                   ;         ;
;      - DI_CPU[0]~48                                            ; 1                 ; 6       ;
; SW[15]                                                         ;                   ;         ;
;      - DI_CPU[7]~61                                            ; 0                 ; 6       ;
; SW[7]                                                          ;                   ;         ;
;      - DI_CPU[7]~61                                            ; 0                 ; 6       ;
; SW[14]                                                         ;                   ;         ;
;      - DI_CPU[6]~72                                            ; 0                 ; 6       ;
; SW[6]                                                          ;                   ;         ;
;      - DI_CPU[6]~72                                            ; 0                 ; 6       ;
; SW[10]                                                         ;                   ;         ;
;      - DI_CPU[2]~77                                            ; 0                 ; 6       ;
; SW[2]                                                          ;                   ;         ;
;      - DI_CPU[2]~76                                            ; 1                 ; 6       ;
; KEY[2]                                                         ;                   ;         ;
;      - DI_CPU[2]~76                                            ; 0                 ; 6       ;
; SW[13]                                                         ;                   ;         ;
;      - DI_CPU[5]~86                                            ; 0                 ; 6       ;
; SW[5]                                                          ;                   ;         ;
;      - DI_CPU[5]~86                                            ; 0                 ; 6       ;
; SW[12]                                                         ;                   ;         ;
;      - DI_CPU[4]~95                                            ; 0                 ; 6       ;
; SW[4]                                                          ;                   ;         ;
;      - DI_CPU[4]~95                                            ; 0                 ; 6       ;
; SW[3]                                                          ;                   ;         ;
;      - DI_CPU[3]~100                                           ; 0                 ; 6       ;
; SW[11]                                                         ;                   ;         ;
;      - DI_CPU[3]~99                                            ; 0                 ; 6       ;
; KEY[3]                                                         ;                   ;         ;
;      - DI_CPU[3]~99                                            ; 0                 ; 6       ;
+----------------------------------------------------------------+-------------------+---------+


+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Control Signals                                                                                                                                                                                        ;
+-------------------------------------------------------------+--------------------+---------+----------------------------+--------+----------------------+------------------+---------------------------+
; Name                                                        ; Location           ; Fan-Out ; Usage                      ; Global ; Global Resource Used ; Global Line Name ; Enable Signal Source Name ;
+-------------------------------------------------------------+--------------------+---------+----------------------------+--------+----------------------+------------------+---------------------------+
; CLOCK_50                                                    ; PIN_Y2             ; 106     ; Clock                      ; yes    ; Global Clock         ; GCLK4            ; --                        ;
; CLOCK_50                                                    ; PIN_Y2             ; 3       ; Clock                      ; no     ; --                   ; --               ; --                        ;
; Clk_Z80                                                     ; LCCOMB_X65_Y33_N18 ; 18      ; Clock                      ; no     ; --                   ; --               ; --                        ;
; Clk_Z80                                                     ; LCCOMB_X65_Y33_N18 ; 403     ; Clock                      ; yes    ; Global Clock         ; GCLK9            ; --                        ;
; Decoder0~17                                                 ; LCCOMB_X60_Y24_N4  ; 8       ; Latch enable               ; yes    ; Global Clock         ; GCLK13           ; --                        ;
; Decoder0~19                                                 ; LCCOMB_X62_Y25_N26 ; 8       ; Latch enable               ; yes    ; Global Clock         ; GCLK0            ; --                        ;
; Decoder0~20                                                 ; LCCOMB_X62_Y25_N12 ; 8       ; Latch enable               ; yes    ; Global Clock         ; GCLK1            ; --                        ;
; Decoder0~21                                                 ; LCCOMB_X60_Y24_N26 ; 8       ; Latch enable               ; yes    ; Global Clock         ; GCLK19           ; --                        ;
; Decoder0~23                                                 ; LCCOMB_X60_Y25_N18 ; 8       ; Latch enable               ; yes    ; Global Clock         ; GCLK14           ; --                        ;
; Decoder0~25                                                 ; LCCOMB_X62_Y25_N10 ; 8       ; Latch enable               ; yes    ; Global Clock         ; GCLK15           ; --                        ;
; Decoder0~26                                                 ; LCCOMB_X60_Y25_N14 ; 8       ; Latch enable               ; yes    ; Global Clock         ; GCLK3            ; --                        ;
; Decoder0~27                                                 ; LCCOMB_X62_Y25_N30 ; 8       ; Latch enable               ; yes    ; Global Clock         ; GCLK17           ; --                        ;
; Decoder0~29                                                 ; LCCOMB_X60_Y22_N4  ; 8       ; Latch enable               ; yes    ; Global Clock         ; GCLK12           ; --                        ;
; Decoder0~31                                                 ; LCCOMB_X62_Y25_N24 ; 8       ; Latch enable               ; yes    ; Global Clock         ; GCLK8            ; --                        ;
; Decoder0~32                                                 ; LCCOMB_X60_Y22_N16 ; 8       ; Latch enable               ; yes    ; Global Clock         ; GCLK2            ; --                        ;
; Decoder0~33                                                 ; LCCOMB_X62_Y25_N22 ; 8       ; Latch enable               ; yes    ; Global Clock         ; GCLK16           ; --                        ;
; Decoder0~35                                                 ; LCCOMB_X60_Y23_N14 ; 8       ; Latch enable               ; yes    ; Global Clock         ; GCLK18           ; --                        ;
; Decoder0~37                                                 ; LCCOMB_X62_Y26_N12 ; 8       ; Latch enable               ; yes    ; Global Clock         ; GCLK7            ; --                        ;
; Decoder0~38                                                 ; LCCOMB_X62_Y26_N20 ; 8       ; Latch enable               ; yes    ; Global Clock         ; GCLK5            ; --                        ;
; Decoder0~39                                                 ; LCCOMB_X60_Y23_N20 ; 8       ; Latch enable               ; yes    ; Global Clock         ; GCLK11           ; --                        ;
; Decoder0~40                                                 ; LCCOMB_X58_Y23_N4  ; 8       ; Latch enable               ; no     ; --                   ; --               ; --                        ;
; Decoder0~41                                                 ; LCCOMB_X60_Y24_N30 ; 8       ; Latch enable               ; no     ; --                   ; --               ; --                        ;
; Decoder0~42                                                 ; LCCOMB_X60_Y23_N4  ; 8       ; Latch enable               ; no     ; --                   ; --               ; --                        ;
; Decoder0~43                                                 ; LCCOMB_X60_Y23_N6  ; 8       ; Latch enable               ; no     ; --                   ; --               ; --                        ;
; Decoder0~44                                                 ; LCCOMB_X59_Y25_N26 ; 8       ; Latch enable               ; no     ; --                   ; --               ; --                        ;
; Decoder0~45                                                 ; LCCOMB_X60_Y25_N28 ; 8       ; Latch enable               ; no     ; --                   ; --               ; --                        ;
; Decoder0~46                                                 ; LCCOMB_X59_Y25_N22 ; 8       ; Latch enable               ; no     ; --                   ; --               ; --                        ;
; Decoder0~47                                                 ; LCCOMB_X62_Y26_N8  ; 8       ; Latch enable               ; no     ; --                   ; --               ; --                        ;
; Decoder0~48                                                 ; LCCOMB_X62_Y25_N4  ; 8       ; Latch enable               ; no     ; --                   ; --               ; --                        ;
; Decoder0~49                                                 ; LCCOMB_X60_Y25_N22 ; 8       ; Latch enable               ; no     ; --                   ; --               ; --                        ;
; Decoder0~50                                                 ; LCCOMB_X60_Y22_N14 ; 8       ; Latch enable               ; no     ; --                   ; --               ; --                        ;
; Decoder0~51                                                 ; LCCOMB_X62_Y26_N30 ; 8       ; Latch enable               ; no     ; --                   ; --               ; --                        ;
; Decoder0~52                                                 ; LCCOMB_X62_Y22_N30 ; 8       ; Latch enable               ; no     ; --                   ; --               ; --                        ;
; Decoder0~53                                                 ; LCCOMB_X60_Y24_N22 ; 8       ; Latch enable               ; no     ; --                   ; --               ; --                        ;
; Decoder0~54                                                 ; LCCOMB_X61_Y21_N30 ; 8       ; Latch enable               ; no     ; --                   ; --               ; --                        ;
; Decoder0~55                                                 ; LCCOMB_X60_Y23_N22 ; 8       ; Latch enable               ; no     ; --                   ; --               ; --                        ;
; LCD:lcd_inst|char_count_sig[2]~0                            ; LCCOMB_X62_Y23_N24 ; 6       ; Clock enable               ; no     ; --                   ; --               ; --                        ;
; LCD:lcd_inst|clk_400hz_enable                               ; FF_X65_Y23_N1      ; 41      ; Clock, Clock enable        ; no     ; --                   ; --               ; --                        ;
; LCD:lcd_inst|clk_count_400hz[13]~46                         ; LCCOMB_X56_Y23_N26 ; 20      ; Sync. clear                ; no     ; --                   ; --               ; --                        ;
; LCD:lcd_inst|data_bus_value[5]~0                            ; LCCOMB_X66_Y23_N2  ; 2       ; Clock enable               ; no     ; --                   ; --               ; --                        ;
; SRAM_DQ~8                                                   ; LCCOMB_X65_Y34_N20 ; 9       ; Output enable              ; no     ; --                   ; --               ; --                        ;
; SW[17]                                                      ; PIN_Y23            ; 220     ; Async. clear, Sync. clear  ; no     ; --                   ; --               ; --                        ;
; T80se:z80_inst|Equal3~0                                     ; LCCOMB_X57_Y32_N30 ; 25      ; Clock enable               ; no     ; --                   ; --               ; --                        ;
; T80se:z80_inst|T80:u0|ACC[0]~15                             ; LCCOMB_X62_Y31_N0  ; 8       ; Clock enable               ; no     ; --                   ; --               ; --                        ;
; T80se:z80_inst|T80:u0|A[13]                                 ; FF_X61_Y30_N31     ; 21      ; Clock enable               ; no     ; --                   ; --               ; --                        ;
; T80se:z80_inst|T80:u0|A[7]~14                               ; LCCOMB_X61_Y29_N0  ; 16      ; Clock enable               ; no     ; --                   ; --               ; --                        ;
; T80se:z80_inst|T80:u0|BusA[2]~1                             ; LCCOMB_X60_Y35_N30 ; 8       ; Clock enable               ; no     ; --                   ; --               ; --                        ;
; T80se:z80_inst|T80:u0|DO[3]~15                              ; LCCOMB_X59_Y31_N24 ; 8       ; Sync. load                 ; no     ; --                   ; --               ; --                        ;
; T80se:z80_inst|T80:u0|F[3]~70                               ; LCCOMB_X61_Y25_N18 ; 2       ; Clock enable               ; no     ; --                   ; --               ; --                        ;
; T80se:z80_inst|T80:u0|F~55                                  ; LCCOMB_X62_Y35_N18 ; 16      ; Clock enable               ; no     ; --                   ; --               ; --                        ;
; T80se:z80_inst|T80:u0|IR[0]~0                               ; LCCOMB_X57_Y33_N0  ; 8       ; Clock enable               ; no     ; --                   ; --               ; --                        ;
; T80se:z80_inst|T80:u0|ISet[1]                               ; FF_X58_Y35_N1      ; 73      ; Sync. load                 ; no     ; --                   ; --               ; --                        ;
; T80se:z80_inst|T80:u0|ISet[1]~4                             ; LCCOMB_X57_Y33_N30 ; 2       ; Clock enable               ; no     ; --                   ; --               ; --                        ;
; T80se:z80_inst|T80:u0|I[0]~0                                ; LCCOMB_X62_Y32_N30 ; 8       ; Clock enable               ; no     ; --                   ; --               ; --                        ;
; T80se:z80_inst|T80:u0|PC[6]~24                              ; LCCOMB_X65_Y32_N6  ; 15      ; Sync. load                 ; no     ; --                   ; --               ; --                        ;
; T80se:z80_inst|T80:u0|PC[6]~28                              ; LCCOMB_X65_Y32_N2  ; 15      ; Clock enable               ; no     ; --                   ; --               ; --                        ;
; T80se:z80_inst|T80:u0|Pre_XY_F_M[2]~1                       ; LCCOMB_X59_Y32_N14 ; 3       ; Clock enable               ; no     ; --                   ; --               ; --                        ;
; T80se:z80_inst|T80:u0|R[1]~11                               ; LCCOMB_X62_Y32_N10 ; 7       ; Clock enable               ; no     ; --                   ; --               ; --                        ;
; T80se:z80_inst|T80:u0|R[1]~9                                ; LCCOMB_X62_Y32_N14 ; 7       ; Sync. load                 ; no     ; --                   ; --               ; --                        ;
; T80se:z80_inst|T80:u0|SP[5]~9                               ; LCCOMB_X66_Y31_N22 ; 8       ; Clock enable               ; no     ; --                   ; --               ; --                        ;
; T80se:z80_inst|T80:u0|SP[8]~28                              ; LCCOMB_X66_Y31_N18 ; 8       ; Clock enable               ; no     ; --                   ; --               ; --                        ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[0][0]~6            ; LCCOMB_X59_Y31_N22 ; 8       ; Clock enable               ; no     ; --                   ; --               ; --                        ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[1][0]~5            ; LCCOMB_X59_Y31_N12 ; 8       ; Clock enable               ; no     ; --                   ; --               ; --                        ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[2][0]~4            ; LCCOMB_X59_Y31_N10 ; 8       ; Clock enable               ; no     ; --                   ; --               ; --                        ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[3][0]~7            ; LCCOMB_X59_Y28_N16 ; 8       ; Clock enable               ; no     ; --                   ; --               ; --                        ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[4][0]~2            ; LCCOMB_X59_Y31_N0  ; 8       ; Clock enable               ; no     ; --                   ; --               ; --                        ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[5][0]~0            ; LCCOMB_X59_Y31_N6  ; 8       ; Clock enable               ; no     ; --                   ; --               ; --                        ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[6][0]~1            ; LCCOMB_X54_Y32_N6  ; 8       ; Clock enable               ; no     ; --                   ; --               ; --                        ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[7][0]~3            ; LCCOMB_X54_Y32_N16 ; 8       ; Clock enable               ; no     ; --                   ; --               ; --                        ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[0][0]~6            ; LCCOMB_X59_Y31_N8  ; 8       ; Clock enable               ; no     ; --                   ; --               ; --                        ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[1][0]~5            ; LCCOMB_X59_Y31_N30 ; 8       ; Clock enable               ; no     ; --                   ; --               ; --                        ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[2][0]~4            ; LCCOMB_X55_Y29_N28 ; 8       ; Clock enable               ; no     ; --                   ; --               ; --                        ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[3][0]~7            ; LCCOMB_X59_Y31_N2  ; 8       ; Clock enable               ; no     ; --                   ; --               ; --                        ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[4][0]~2            ; LCCOMB_X59_Y31_N26 ; 8       ; Clock enable               ; no     ; --                   ; --               ; --                        ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[5][0]~0            ; LCCOMB_X59_Y31_N14 ; 8       ; Clock enable               ; no     ; --                   ; --               ; --                        ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[6][0]~1            ; LCCOMB_X59_Y31_N16 ; 8       ; Clock enable               ; no     ; --                   ; --               ; --                        ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[7][0]~3            ; LCCOMB_X59_Y31_N28 ; 8       ; Clock enable               ; no     ; --                   ; --               ; --                        ;
; T80se:z80_inst|T80:u0|TmpAddr[0]~31                         ; LCCOMB_X63_Y29_N16 ; 8       ; Clock enable               ; no     ; --                   ; --               ; --                        ;
; T80se:z80_inst|T80:u0|TmpAddr[8]~43                         ; LCCOMB_X62_Y30_N24 ; 8       ; Clock enable               ; no     ; --                   ; --               ; --                        ;
; T80se:z80_inst|T80:u0|XY_State[1]~1                         ; LCCOMB_X57_Y33_N28 ; 2       ; Clock enable               ; no     ; --                   ; --               ; --                        ;
; \pinout_process:LEDG_sig[7]~0                               ; LCCOMB_X65_Y27_N8  ; 8       ; Clock enable               ; no     ; --                   ; --               ; --                        ;
; \pinout_process:LEDR_sig[15]~0                              ; LCCOMB_X65_Y35_N30 ; 8       ; Clock enable               ; no     ; --                   ; --               ; --                        ;
; \pinout_process:LEDR_sig[2]~0                               ; LCCOMB_X65_Y35_N20 ; 8       ; Clock enable               ; no     ; --                   ; --               ; --                        ;
; \pinout_process:NUMBER0_sig[3]~0                            ; LCCOMB_X68_Y34_N20 ; 8       ; Clock enable               ; no     ; --                   ; --               ; --                        ;
; \pinout_process:NUMBER2_sig[3]~1                            ; LCCOMB_X65_Y27_N4  ; 8       ; Clock enable               ; no     ; --                   ; --               ; --                        ;
; \pinout_process:NUMBER4_sig[3]~0                            ; LCCOMB_X65_Y27_N22 ; 8       ; Clock enable               ; no     ; --                   ; --               ; --                        ;
; \pinout_process:NUMBER6_sig[3]~0                            ; LCCOMB_X66_Y1_N28  ; 8       ; Clock enable               ; no     ; --                   ; --               ; --                        ;
; clk_div:clkdiv_inst|LessThan1~0                             ; LCCOMB_X53_Y70_N26 ; 5       ; Sync. clear                ; no     ; --                   ; --               ; --                        ;
; clk_div:clkdiv_inst|clock_100Hz                             ; FF_X53_Y43_N25     ; 2       ; Clock                      ; no     ; --                   ; --               ; --                        ;
; clk_div:clkdiv_inst|clock_100Khz_int                        ; FF_X54_Y70_N27     ; 5       ; Clock                      ; no     ; --                   ; --               ; --                        ;
; clk_div:clkdiv_inst|clock_10Khz_int                         ; FF_X53_Y47_N31     ; 5       ; Clock                      ; no     ; --                   ; --               ; --                        ;
; clk_div:clkdiv_inst|clock_1Khz_int                          ; FF_X53_Y47_N21     ; 5       ; Clock                      ; no     ; --                   ; --               ; --                        ;
; clk_div:clkdiv_inst|clock_1Mhz_int                          ; FF_X53_Y70_N7      ; 4       ; Clock                      ; no     ; --                   ; --               ; --                        ;
; clk_div:clkdiv_inst|clock_25MHz                             ; FF_X53_Y70_N29     ; 77      ; Clock                      ; yes    ; Global Clock         ; GCLK10           ; --                        ;
; clk_div:clkdiv_inst|clock_25Mhz_int                         ; FF_X53_Y70_N25     ; 8       ; Clock                      ; no     ; --                   ; --               ; --                        ;
; clk_div:clkdiv_inst|count_10Mhz[0]                          ; FF_X68_Y33_N21     ; 13      ; Clock enable               ; no     ; --                   ; --               ; --                        ;
; cram_wea~0                                                  ; LCCOMB_X61_Y28_N24 ; 2       ; Clock enable, Write enable ; no     ; --                   ; --               ; --                        ;
; ps2_ascii_reg1[0]~2                                         ; LCCOMB_X55_Y39_N22 ; 8       ; Clock enable               ; no     ; --                   ; --               ; --                        ;
; ps2_read                                                    ; FF_X55_Y39_N25     ; 10      ; Async. clear               ; no     ; --                   ; --               ; --                        ;
; ps2kbd:ps2_kbd_inst|Equal0~2                                ; LCCOMB_X53_Y40_N28 ; 2       ; Clock enable               ; no     ; --                   ; --               ; --                        ;
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]~1            ; LCCOMB_X53_Y41_N24 ; 4       ; Clock enable               ; no     ; --                   ; --               ; --                        ;
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[7]~0          ; LCCOMB_X54_Y41_N18 ; 9       ; Clock enable               ; no     ; --                   ; --               ; --                        ;
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; FF_X68_Y33_N25     ; 23      ; Clock                      ; yes    ; Global Clock         ; GCLK6            ; --                        ;
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set             ; FF_X53_Y41_N23     ; 2       ; Clock                      ; no     ; --                   ; --               ; --                        ;
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[7]~0        ; LCCOMB_X53_Y41_N28 ; 8       ; Clock enable               ; no     ; --                   ; --               ; --                        ;
; video:video_inst|VGA_SYNC:vga_sync_inst|LessThan5~0         ; LCCOMB_X48_Y35_N2  ; 11      ; Clock enable               ; no     ; --                   ; --               ; --                        ;
; video:video_inst|VGA_SYNC:vga_sync_inst|LessThan6~2         ; LCCOMB_X47_Y35_N12 ; 10      ; Clock enable               ; no     ; --                   ; --               ; --                        ;
; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[2]~1        ; LCCOMB_X45_Y35_N28 ; 10      ; Clock enable               ; no     ; --                   ; --               ; --                        ;
; vram_wea~3                                                  ; LCCOMB_X61_Y28_N22 ; 8       ; Clock enable, Write enable ; no     ; --                   ; --               ; --                        ;
+-------------------------------------------------------------+--------------------+---------+----------------------------+--------+----------------------+------------------+---------------------------+


+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Global & Other Fast Signals                                                                                                                                                                             ;
+-------------------------------------------------------------+--------------------+---------+--------------------------------------+----------------------+------------------+---------------------------+
; Name                                                        ; Location           ; Fan-Out ; Fan-Out Using Intentional Clock Skew ; Global Resource Used ; Global Line Name ; Enable Signal Source Name ;
+-------------------------------------------------------------+--------------------+---------+--------------------------------------+----------------------+------------------+---------------------------+
; CLOCK_50                                                    ; PIN_Y2             ; 106     ; 0                                    ; Global Clock         ; GCLK4            ; --                        ;
; Clk_Z80                                                     ; LCCOMB_X65_Y33_N18 ; 403     ; 0                                    ; Global Clock         ; GCLK9            ; --                        ;
; Decoder0~17                                                 ; LCCOMB_X60_Y24_N4  ; 8       ; 0                                    ; Global Clock         ; GCLK13           ; --                        ;
; Decoder0~19                                                 ; LCCOMB_X62_Y25_N26 ; 8       ; 0                                    ; Global Clock         ; GCLK0            ; --                        ;
; Decoder0~20                                                 ; LCCOMB_X62_Y25_N12 ; 8       ; 0                                    ; Global Clock         ; GCLK1            ; --                        ;
; Decoder0~21                                                 ; LCCOMB_X60_Y24_N26 ; 8       ; 0                                    ; Global Clock         ; GCLK19           ; --                        ;
; Decoder0~23                                                 ; LCCOMB_X60_Y25_N18 ; 8       ; 0                                    ; Global Clock         ; GCLK14           ; --                        ;
; Decoder0~25                                                 ; LCCOMB_X62_Y25_N10 ; 8       ; 0                                    ; Global Clock         ; GCLK15           ; --                        ;
; Decoder0~26                                                 ; LCCOMB_X60_Y25_N14 ; 8       ; 0                                    ; Global Clock         ; GCLK3            ; --                        ;
; Decoder0~27                                                 ; LCCOMB_X62_Y25_N30 ; 8       ; 0                                    ; Global Clock         ; GCLK17           ; --                        ;
; Decoder0~29                                                 ; LCCOMB_X60_Y22_N4  ; 8       ; 0                                    ; Global Clock         ; GCLK12           ; --                        ;
; Decoder0~31                                                 ; LCCOMB_X62_Y25_N24 ; 8       ; 0                                    ; Global Clock         ; GCLK8            ; --                        ;
; Decoder0~32                                                 ; LCCOMB_X60_Y22_N16 ; 8       ; 0                                    ; Global Clock         ; GCLK2            ; --                        ;
; Decoder0~33                                                 ; LCCOMB_X62_Y25_N22 ; 8       ; 0                                    ; Global Clock         ; GCLK16           ; --                        ;
; Decoder0~35                                                 ; LCCOMB_X60_Y23_N14 ; 8       ; 0                                    ; Global Clock         ; GCLK18           ; --                        ;
; Decoder0~37                                                 ; LCCOMB_X62_Y26_N12 ; 8       ; 0                                    ; Global Clock         ; GCLK7            ; --                        ;
; Decoder0~38                                                 ; LCCOMB_X62_Y26_N20 ; 8       ; 0                                    ; Global Clock         ; GCLK5            ; --                        ;
; Decoder0~39                                                 ; LCCOMB_X60_Y23_N20 ; 8       ; 0                                    ; Global Clock         ; GCLK11           ; --                        ;
; clk_div:clkdiv_inst|clock_25MHz                             ; FF_X53_Y70_N29     ; 77      ; 0                                    ; Global Clock         ; GCLK10           ; --                        ;
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; FF_X68_Y33_N25     ; 23      ; 0                                    ; Global Clock         ; GCLK6            ; --                        ;
+-------------------------------------------------------------+--------------------+---------+--------------------------------------+----------------------+------------------+---------------------------+


+-----------------------------------------------------------------------+
; Non-Global High Fan-Out Signals                                       ;
+-------------------------------------------------------------+---------+
; Name                                                        ; Fan-Out ;
+-------------------------------------------------------------+---------+
; T80se:z80_inst|T80:u0|IR[0]                                 ; 221     ;
; SW[17]~input                                                ; 220     ;
; T80se:z80_inst|T80:u0|IR[1]                                 ; 217     ;
; T80se:z80_inst|T80:u0|IR[2]                                 ; 181     ;
; T80se:z80_inst|T80:u0|IR[3]                                 ; 154     ;
; T80se:z80_inst|T80:u0|IR[6]                                 ; 127     ;
; T80se:z80_inst|T80:u0|IR[4]                                 ; 122     ;
; T80se:z80_inst|T80:u0|IR[5]                                 ; 118     ;
; T80se:z80_inst|T80:u0|IR[7]                                 ; 112     ;
; T80se:z80_inst|T80:u0|MCycle[0]                             ; 90      ;
; T80se:z80_inst|T80:u0|MCycle[1]                             ; 86      ;
; T80se:z80_inst|T80:u0|MCycle[2]                             ; 77      ;
; T80se:z80_inst|T80:u0|ISet[1]                               ; 73      ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux146~2              ; 72      ;
; T80se:z80_inst|T80:u0|A[4]                                  ; 72      ;
; T80se:z80_inst|T80:u0|A[3]                                  ; 71      ;
; LCD:lcd_inst|char_count_sig[3]                              ; 65      ;
; LCD:lcd_inst|char_count_sig[1]                              ; 64      ;
; T80se:z80_inst|T80:u0|RegAddrA[1]~12                        ; 64      ;
; T80se:z80_inst|T80:u0|RegAddrA[0]~10                        ; 64      ;
; LCD:lcd_inst|char_count_sig[0]                              ; 62      ;
; LCD:lcd_inst|char_count_sig[2]                              ; 62      ;
; T80se:z80_inst|T80:u0|A[2]                                  ; 60      ;
; T80se:z80_inst|T80:u0|A[0]                                  ; 58      ;
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[3]          ; 56      ;
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[4]          ; 56      ;
; T80se:z80_inst|T80:u0|A[1]                                  ; 56      ;
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[5]          ; 53      ;
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[0]          ; 50      ;
; T80se:z80_inst|T80:u0|ALU_Op_r[0]                           ; 49      ;
; T80se:z80_inst|T80:u0|RegAddrB[1]~2                         ; 48      ;
; T80se:z80_inst|T80:u0|RegAddrB[0]~1                         ; 48      ;
; T80se:z80_inst|T80:u0|ISet[0]                               ; 48      ;
; T80se:z80_inst|T80:u0|RegAddrC[1]                           ; 48      ;
; T80se:z80_inst|T80:u0|RegAddrC[0]                           ; 48      ;
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[1]          ; 46      ;
; T80se:z80_inst|T80:u0|ALU_Op_r[1]                           ; 46      ;
; T80se:z80_inst|T80:u0|process_0~3                           ; 44      ;
; T80se:z80_inst|T80:u0|DO[0]                                 ; 44      ;
; T80se:z80_inst|T80:u0|DO[7]                                 ; 43      ;
; T80se:z80_inst|T80:u0|DO[6]                                 ; 43      ;
; T80se:z80_inst|T80:u0|DO[5]                                 ; 43      ;
; T80se:z80_inst|T80:u0|DO[4]                                 ; 43      ;
; T80se:z80_inst|T80:u0|DO[3]                                 ; 43      ;
; T80se:z80_inst|T80:u0|DO[2]                                 ; 43      ;
; T80se:z80_inst|T80:u0|DO[1]                                 ; 43      ;
; LCD:lcd_inst|clk_400hz_enable                               ; 41      ;
; ps2kbd:ps2_kbd_inst|caps[0]                                 ; 38      ;
; T80se:z80_inst|T80:u0|A[5]                                  ; 36      ;
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[2]          ; 34      ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux146~5              ; 34      ;
; T80se:z80_inst|T80:u0|RegAddrA[2]~16                        ; 32      ;
; T80se:z80_inst|T80:u0|TState[0]                             ; 32      ;
; T80se:z80_inst|T80:u0|A[7]                                  ; 32      ;
; T80se:z80_inst|T80:u0|A[6]                                  ; 32      ;
; T80se:z80_inst|T80:u0|A[10]                                 ; 30      ;
; T80se:z80_inst|T80:u0|A[9]                                  ; 30      ;
; T80se:z80_inst|T80:u0|A[8]                                  ; 30      ;
; T80se:z80_inst|DI_Reg[7]                                    ; 29      ;
; T80se:z80_inst|T80:u0|A[12]                                 ; 29      ;
; T80se:z80_inst|T80:u0|A[11]                                 ; 29      ;
; T80se:z80_inst|T80:u0|ALU_Op_r[2]                           ; 28      ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Set_Addr_To[1]~3      ; 28      ;
; T80se:z80_inst|T80:u0|TState[1]                             ; 27      ;
; T80se:z80_inst|T80:u0|A[7]~9                                ; 27      ;
; T80se:z80_inst|T80:u0|TState[2]                             ; 27      ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux190~0              ; 27      ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux146~1              ; 27      ;
; T80se:z80_inst|T80:u0|RegDIH[7]~0                           ; 26      ;
; LCD:lcd_inst|state.hold                                     ; 26      ;
; T80se:z80_inst|Equal3~0                                     ; 25      ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux146~3              ; 25      ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux255~0              ; 25      ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux100~4              ; 24      ;
; LCD:lcd_inst|state.print_string                             ; 23      ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux146~4              ; 23      ;
; T80se:z80_inst|T80:u0|RegDIH[7]~1                           ; 22      ;
; pinout_process~0                                            ; 22      ;
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[6]          ; 21      ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|Q_t~89                    ; 21      ;
; T80se:z80_inst|T80:u0|A[13]                                 ; 21      ;
; LCD:lcd_inst|clk_count_400hz[13]~46                         ; 20      ;
; T80se:z80_inst|T80:u0|F[1]                                  ; 20      ;
; T80se:z80_inst|T80:u0|ALU_Op_r[3]                           ; 20      ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|process_1~0               ; 19      ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux253~4              ; 18      ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux271~3              ; 17      ;
; T80se:z80_inst|T80:u0|BusA[5]                               ; 17      ;
; Clk_Z80                                                     ; 17      ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|Mux12~0                   ; 17      ;
; T80se:z80_inst|T80:u0|BusA[7]                               ; 17      ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux101~0              ; 17      ;
; T80se:z80_inst|T80:u0|Equal4~2                              ; 17      ;
; T80se:z80_inst|T80:u0|Equal4~0                              ; 17      ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux45~2               ; 17      ;
; vram_wea~3                                                  ; 16      ;
; T80se:z80_inst|T80:u0|F~55                                  ; 16      ;
; T80se:z80_inst|T80:u0|BusA[2]~0                             ; 16      ;
; DI_CPU~14                                                   ; 16      ;
; T80se:z80_inst|T80:u0|RegAddrB[2]~3                         ; 16      ;
; LCD:lcd_inst|state.drop_LCD_EN                              ; 16      ;
; T80se:z80_inst|T80:u0|A[7]~14                               ; 16      ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux252~8              ; 16      ;
; T80se:z80_inst|T80:u0|RegAddrC[2]                           ; 16      ;
; T80se:z80_inst|T80:u0|ACC[0]~37                             ; 15      ;
; T80se:z80_inst|T80:u0|PC[6]~28                              ; 15      ;
; T80se:z80_inst|T80:u0|PC[6]~24                              ; 15      ;
; T80se:z80_inst|T80:u0|Equal0~0                              ; 15      ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Set_BusB_To[0]~29     ; 14      ;
; T80se:z80_inst|T80:u0|process_0~5                           ; 14      ;
; T80se:z80_inst|T80:u0|Equal0~2                              ; 14      ;
; T80se:z80_inst|T80:u0|Read_To_Reg_r[0]                      ; 14      ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|Mux12~1                   ; 14      ;
; T80se:z80_inst|T80:u0|Equal0~1                              ; 14      ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux146~8              ; 14      ;
; T80se:z80_inst|T80:u0|F[0]                                  ; 14      ;
; T80se:z80_inst|T80:u0|BusB[0]~8                             ; 13      ;
; clk_div:clkdiv_inst|count_10Mhz[0]                          ; 13      ;
; LCD:lcd_inst|char_count_sig[4]                              ; 13      ;
; T80se:z80_inst|T80:u0|BusA[6]                               ; 13      ;
; T80se:z80_inst|T80:u0|BusA[1]                               ; 13      ;
; T80se:z80_inst|T80:u0|A[10]~50                              ; 13      ;
; T80se:z80_inst|T80:u0|Equal3~2                              ; 13      ;
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[7]          ; 12      ;
; T80se:z80_inst|T80:u0|PC[14]~48                             ; 12      ;
; T80se:z80_inst|T80:u0|PC[14]~47                             ; 12      ;
; T80se:z80_inst|T80:u0|ACC[0]~8                              ; 12      ;
; T80se:z80_inst|T80:u0|ACC[0]~7                              ; 12      ;
; T80se:z80_inst|T80:u0|SP[8]~25                              ; 12      ;
; T80se:z80_inst|T80:u0|SP[8]~24                              ; 12      ;
; T80se:z80_inst|T80:u0|PC[6]~20                              ; 12      ;
; T80se:z80_inst|T80:u0|PC[6]~19                              ; 12      ;
; T80se:z80_inst|T80:u0|SP[5]~4                               ; 12      ;
; T80se:z80_inst|T80:u0|SP[5]~3                               ; 12      ;
; T80se:z80_inst|T80:u0|BusB[7]                               ; 12      ;
; T80se:z80_inst|T80:u0|BusB[3]                               ; 12      ;
; T80se:z80_inst|T80:u0|BusA[2]                               ; 12      ;
; T80se:z80_inst|T80:u0|BusA[3]                               ; 12      ;
; T80se:z80_inst|T80:u0|BusB[5]                               ; 12      ;
; T80se:z80_inst|T80:u0|DO[3]~11                              ; 12      ;
; T80se:z80_inst|T80:u0|DO[3]~10                              ; 12      ;
; T80se:z80_inst|T80:u0|Save_ALU_r                            ; 12      ;
; T80se:z80_inst|T80:u0|A[10]~49                              ; 12      ;
; T80se:z80_inst|DI_Reg[6]                                    ; 12      ;
; T80se:z80_inst|DI_Reg[5]                                    ; 12      ;
; T80se:z80_inst|DI_Reg[4]                                    ; 12      ;
; T80se:z80_inst|DI_Reg[3]                                    ; 12      ;
; T80se:z80_inst|DI_Reg[2]                                    ; 12      ;
; T80se:z80_inst|DI_Reg[1]                                    ; 12      ;
; T80se:z80_inst|DI_Reg[0]                                    ; 12      ;
; T80se:z80_inst|T80:u0|A[7]~8                                ; 12      ;
; T80se:z80_inst|T80:u0|A[7]~7                                ; 12      ;
; T80se:z80_inst|T80:u0|Equal4~1                              ; 12      ;
; T80se:z80_inst|T80:u0|Equal3~1                              ; 12      ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|Q_t~85                    ; 12      ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux250~5              ; 11      ;
; video:video_inst|VGA_SYNC:vga_sync_inst|LessThan5~0         ; 11      ;
; T80se:z80_inst|Equal1~0                                     ; 11      ;
; T80se:z80_inst|T80:u0|BusA[4]                               ; 11      ;
; T80se:z80_inst|T80:u0|BusB[1]                               ; 11      ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux44~0               ; 11      ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux110~2              ; 11      ;
; T80se:z80_inst|T80:u0|F[6]                                  ; 11      ;
; DI_CPU[4]~114                                               ; 10      ;
; ps2_read                                                    ; 10      ;
; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[2]~1        ; 10      ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux251~3              ; 10      ;
; video:video_inst|VGA_SYNC:vga_sync_inst|LessThan6~2         ; 10      ;
; DI_CPU~11                                                   ; 10      ;
; T80se:z80_inst|T80:u0|BusA[0]                               ; 10      ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux215~1              ; 10      ;
; T80se:z80_inst|T80:u0|XY_State[1]                           ; 10      ;
; T80se:z80_inst|T80:u0|Equal4~4                              ; 10      ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|Q_t~86                    ; 10      ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux287~4              ; 10      ;
; T80se:z80_inst|MREQ_n                                       ; 10      ;
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[7]~0          ; 9       ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Set_BusB_To[2]~20     ; 9       ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux245~6              ; 9       ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux265~2              ; 9       ;
; T80se:z80_inst|T80:u0|F~13                                  ; 9       ;
; DI_CPU~22                                                   ; 9       ;
; DI_CPU~17                                                   ; 9       ;
; T80se:z80_inst|T80:u0|BusB[2]                               ; 9       ;
; T80se:z80_inst|T80:u0|BusB[4]                               ; 9       ;
; T80se:z80_inst|T80:u0|BusB[0]                               ; 9       ;
; T80se:z80_inst|T80:u0|ACC[5]                                ; 9       ;
; T80se:z80_inst|T80:u0|ACC[3]                                ; 9       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|Q_t~163                   ; 9       ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux102~0              ; 9       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|Q_t~91                    ; 9       ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux294~0              ; 9       ;
; SRAM_DQ~8                                                   ; 9       ;
; Decoder0~55                                                 ; 8       ;
; Decoder0~54                                                 ; 8       ;
; Decoder0~53                                                 ; 8       ;
; Decoder0~52                                                 ; 8       ;
; Decoder0~51                                                 ; 8       ;
; Decoder0~50                                                 ; 8       ;
; Decoder0~49                                                 ; 8       ;
; Decoder0~48                                                 ; 8       ;
; T80se:z80_inst|T80:u0|BusB[0]~7                             ; 8       ;
; DI_CPU[4]~115                                               ; 8       ;
; Decoder0~47                                                 ; 8       ;
; Decoder0~46                                                 ; 8       ;
; Decoder0~45                                                 ; 8       ;
; Decoder0~44                                                 ; 8       ;
; Decoder0~43                                                 ; 8       ;
; Decoder0~42                                                 ; 8       ;
; Decoder0~41                                                 ; 8       ;
; Decoder0~40                                                 ; 8       ;
; lcdvram~2                                                   ; 8       ;
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[7]~0        ; 8       ;
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|LessThan0~0           ; 8       ;
; ps2_ascii_reg1[0]~2                                         ; 8       ;
; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[6]     ; 8       ;
; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[5]     ; 8       ;
; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[4]     ; 8       ;
; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[3]     ; 8       ;
; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[2]~2        ; 8       ;
; T80se:z80_inst|T80:u0|BusA[2]~1                             ; 8       ;
; T80se:z80_inst|T80:u0|Mux96~0                               ; 8       ;
; T80se:z80_inst|T80:u0|BusB[0]~6                             ; 8       ;
; T80se:z80_inst|T80:u0|BusB[0]~5                             ; 8       ;
; T80se:z80_inst|T80:u0|BusB[0]~4                             ; 8       ;
; T80se:z80_inst|T80:u0|Mux84~0                               ; 8       ;
; T80se:z80_inst|T80:u0|RegDIH[7]~17                          ; 8       ;
; T80se:z80_inst|T80:u0|RegDIH[6]~15                          ; 8       ;
; T80se:z80_inst|T80:u0|RegDIH[5]~13                          ; 8       ;
; T80se:z80_inst|T80:u0|RegDIH[4]~11                          ; 8       ;
; T80se:z80_inst|T80:u0|RegDIH[3]~9                           ; 8       ;
; T80se:z80_inst|T80:u0|RegDIH[2]~7                           ; 8       ;
; T80se:z80_inst|T80:u0|RegDIH[1]~5                           ; 8       ;
; T80se:z80_inst|T80:u0|I[0]~0                                ; 8       ;
; T80se:z80_inst|T80:u0|PC[14]~51                             ; 8       ;
; T80se:z80_inst|T80:u0|ACC[0]~15                             ; 8       ;
; T80se:z80_inst|T80:u0|ACC[0]~11                             ; 8       ;
; T80se:z80_inst|T80:u0|SP[8]~28                              ; 8       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[3][0]~7            ; 8       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[0][0]~6            ; 8       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[1][0]~5            ; 8       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[2][0]~4            ; 8       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[7][0]~3            ; 8       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[4][0]~2            ; 8       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[6][0]~1            ; 8       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[5][0]~0            ; 8       ;
; T80se:z80_inst|T80:u0|RegWEH~0                              ; 8       ;
; T80se:z80_inst|T80:u0|RegDIH[0]~3                           ; 8       ;
; T80se:z80_inst|T80:u0|TmpAddr[8]~43                         ; 8       ;
; T80se:z80_inst|T80:u0|RegDIL[7]~15                          ; 8       ;
; T80se:z80_inst|T80:u0|RegDIL[6]~13                          ; 8       ;
; T80se:z80_inst|T80:u0|RegDIL[5]~11                          ; 8       ;
; T80se:z80_inst|T80:u0|RegDIL[4]~9                           ; 8       ;
; T80se:z80_inst|T80:u0|RegDIL[3]~7                           ; 8       ;
; T80se:z80_inst|T80:u0|RegDIL[2]~5                           ; 8       ;
; T80se:z80_inst|T80:u0|RegDIL[1]~3                           ; 8       ;
; T80se:z80_inst|T80:u0|SP[5]~9                               ; 8       ;
; T80se:z80_inst|T80:u0|TmpAddr[0]~31                         ; 8       ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux101~1              ; 8       ;
; T80se:z80_inst|T80:u0|IR[0]~0                               ; 8       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[3][0]~7            ; 8       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[0][0]~6            ; 8       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[1][0]~5            ; 8       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[2][0]~4            ; 8       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[7][0]~3            ; 8       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[4][0]~2            ; 8       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[6][0]~1            ; 8       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[5][0]~0            ; 8       ;
; T80se:z80_inst|T80:u0|RegWEL~2                              ; 8       ;
; T80se:z80_inst|T80:u0|RegDIL[0]~1                           ; 8       ;
; T80se:z80_inst|T80:u0|BusB[6]                               ; 8       ;
; T80se:z80_inst|T80:u0|F[4]                                  ; 8       ;
; T80se:z80_inst|T80:u0|DO[3]~15                              ; 8       ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux249~0              ; 8       ;
; T80se:z80_inst|T80:u0|Save_Mux[6]~3                         ; 8       ;
; T80se:z80_inst|T80:u0|Save_Mux[6]~2                         ; 8       ;
; clk_div:clkdiv_inst|clock_25Mhz_int                         ; 8       ;
; T80se:z80_inst|Equal2~0                                     ; 8       ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux61~4               ; 8       ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux215~0              ; 8       ;
; T80se:z80_inst|T80:u0|PC[0]                                 ; 8       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|Q_t~95                    ; 8       ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux260~0              ; 8       ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux126~0              ; 8       ;
; T80se:z80_inst|T80:u0|F[2]                                  ; 8       ;
; \pinout_process:LEDR_sig[15]~0                              ; 8       ;
; \pinout_process:LEDR_sig[2]~0                               ; 8       ;
; \pinout_process:LEDG_sig[7]~0                               ; 8       ;
; \pinout_process:NUMBER6_sig[3]~0                            ; 8       ;
; \pinout_process:NUMBER4_sig[3]~0                            ; 8       ;
; \pinout_process:NUMBER2_sig[3]~1                            ; 8       ;
; \pinout_process:NUMBER0_sig[3]~0                            ; 8       ;
; T80se:z80_inst|T80:u0|A[14]                                 ; 8       ;
; video:video_inst|Add1~16                                    ; 8       ;
; video:video_inst|Add1~14                                    ; 8       ;
; video:video_inst|Add1~12                                    ; 8       ;
; video:video_inst|Add1~10                                    ; 8       ;
; video:video_inst|Add1~8                                     ; 8       ;
; video:video_inst|Add1~6                                     ; 8       ;
; video:video_inst|Add1~4                                     ; 8       ;
; video:video_inst|Add1~2                                     ; 8       ;
; video:video_inst|Add1~0                                     ; 8       ;
; LCD:lcd_inst|state.reset1                                   ; 7       ;
; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[7]          ; 7       ;
; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[9]          ; 7       ;
; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[8]          ; 7       ;
; T80se:z80_inst|T80:u0|R[1]~11                               ; 7       ;
; T80se:z80_inst|T80:u0|R[1]~9                                ; 7       ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux264~5              ; 7       ;
; T80se:z80_inst|T80:u0|IR~1                                  ; 7       ;
; DI_CPU[2]~23                                                ; 7       ;
; DI_CPU~13                                                   ; 7       ;
; DI_CPU~10                                                   ; 7       ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux300~0              ; 7       ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux92~5               ; 7       ;
; T80se:z80_inst|T80:u0|ACC[7]                                ; 7       ;
; T80se:z80_inst|T80:u0|ACC[6]                                ; 7       ;
; T80se:z80_inst|T80:u0|ACC[4]                                ; 7       ;
; T80se:z80_inst|T80:u0|ACC[2]                                ; 7       ;
; T80se:z80_inst|T80:u0|ACC[1]                                ; 7       ;
; T80se:z80_inst|T80:u0|ACC[0]                                ; 7       ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux146~6              ; 7       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|Q_t~88                    ; 7       ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux146~0              ; 7       ;
; T80se:z80_inst|T80:u0|F[7]                                  ; 7       ;
; T80se:z80_inst|RD_n                                         ; 7       ;
; T80se:z80_inst|T80:u0|A[15]                                 ; 7       ;
; \pinout_process:NUMBER7_sig[3]                              ; 7       ;
; \pinout_process:NUMBER7_sig[2]                              ; 7       ;
; \pinout_process:NUMBER7_sig[1]                              ; 7       ;
; \pinout_process:NUMBER7_sig[0]                              ; 7       ;
; \pinout_process:NUMBER6_sig[3]                              ; 7       ;
; \pinout_process:NUMBER6_sig[2]                              ; 7       ;
; \pinout_process:NUMBER6_sig[1]                              ; 7       ;
; \pinout_process:NUMBER6_sig[0]                              ; 7       ;
; \pinout_process:NUMBER5_sig[3]                              ; 7       ;
; \pinout_process:NUMBER5_sig[2]                              ; 7       ;
; \pinout_process:NUMBER5_sig[1]                              ; 7       ;
; \pinout_process:NUMBER5_sig[0]                              ; 7       ;
; \pinout_process:NUMBER4_sig[3]                              ; 7       ;
; \pinout_process:NUMBER4_sig[2]                              ; 7       ;
; \pinout_process:NUMBER4_sig[1]                              ; 7       ;
; \pinout_process:NUMBER4_sig[0]                              ; 7       ;
; \pinout_process:NUMBER3_sig[3]                              ; 7       ;
; \pinout_process:NUMBER3_sig[2]                              ; 7       ;
; \pinout_process:NUMBER3_sig[1]                              ; 7       ;
; \pinout_process:NUMBER3_sig[0]                              ; 7       ;
; \pinout_process:NUMBER2_sig[3]                              ; 7       ;
; \pinout_process:NUMBER2_sig[2]                              ; 7       ;
; \pinout_process:NUMBER2_sig[1]                              ; 7       ;
; \pinout_process:NUMBER2_sig[0]                              ; 7       ;
; \pinout_process:NUMBER1_sig[3]                              ; 7       ;
; \pinout_process:NUMBER1_sig[2]                              ; 7       ;
; \pinout_process:NUMBER1_sig[1]                              ; 7       ;
; \pinout_process:NUMBER1_sig[0]                              ; 7       ;
; \pinout_process:NUMBER0_sig[3]                              ; 7       ;
; \pinout_process:NUMBER0_sig[2]                              ; 7       ;
; \pinout_process:NUMBER0_sig[1]                              ; 7       ;
; \pinout_process:NUMBER0_sig[0]                              ; 7       ;
; T80se:z80_inst|T80:u0|DO[7]~7                               ; 7       ;
; T80se:z80_inst|T80:u0|DO[6]~6                               ; 7       ;
; T80se:z80_inst|T80:u0|DO[5]~5                               ; 7       ;
; T80se:z80_inst|T80:u0|DO[4]~4                               ; 7       ;
; T80se:z80_inst|T80:u0|DO[3]~3                               ; 7       ;
; T80se:z80_inst|T80:u0|DO[2]~2                               ; 7       ;
; T80se:z80_inst|T80:u0|DO[1]~1                               ; 7       ;
; T80se:z80_inst|T80:u0|DO[0]~0                               ; 7       ;
; LCD:lcd_inst|process_1~0                                    ; 6       ;
; LCD:lcd_inst|Equal0~0                                       ; 6       ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux251~20             ; 6       ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux252~16             ; 6       ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Set_BusB_To[1]~11     ; 6       ;
; LCD:lcd_inst|WideOr0~0                                      ; 6       ;
; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[4]          ; 6       ;
; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[3]          ; 6       ;
; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[2]          ; 6       ;
; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[5]          ; 6       ;
; T80se:z80_inst|T80:u0|BTR_r                                 ; 6       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|Q_t~245                   ; 6       ;
; T80se:z80_inst|T80:u0|SP[8]~2                               ; 6       ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux101~2              ; 6       ;
; DI_CPU[2]~29                                                ; 6       ;
; DI_CPU[2]~27                                                ; 6       ;
; DI_CPU[2]~24                                                ; 6       ;
; DI_CPU~12                                                   ; 6       ;
; T80se:z80_inst|T80:u0|Alternate                             ; 6       ;
; T80se:z80_inst|T80:u0|RegAddrB~0                            ; 6       ;
; T80se:z80_inst|T80:u0|Save_Mux[7]~21                        ; 6       ;
; LCD:lcd_inst|char_count_sig[2]~0                            ; 6       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|Q_t~211                   ; 6       ;
; T80se:z80_inst|T80:u0|XY_State[0]                           ; 6       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|Q_t~105                   ; 6       ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux92~4               ; 6       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|Q_t~98                    ; 6       ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux261~8              ; 6       ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux272~0              ; 6       ;
; T80se:z80_inst|T80:u0|Equal3~0                              ; 6       ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux217~0              ; 6       ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux259~5              ; 5       ;
; clk_div:clkdiv_inst|LessThan1~0                             ; 5       ;
; clk_div:clkdiv_inst|clock_100Khz_int                        ; 5       ;
; clk_div:clkdiv_inst|clock_10Khz_int                         ; 5       ;
; clk_div:clkdiv_inst|clock_1Khz_int                          ; 5       ;
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]              ; 5       ;
; clk_div:clkdiv_inst|count_357Mhz[3]                         ; 5       ;
; clk_div:clkdiv_inst|count_357Mhz[2]                         ; 5       ;
; clk_div:clkdiv_inst|count_357Mhz[1]                         ; 5       ;
; LCD:lcd_inst|LessThan1~0                                    ; 5       ;
; next_char_sig[1]                                            ; 5       ;
; T80se:z80_inst|T80:u0|F[3]~34                               ; 5       ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux263~6              ; 5       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|Q_t~247                   ; 5       ;
; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[8]          ; 5       ;
; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[7]          ; 5       ;
; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[6]          ; 5       ;
; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[5]          ; 5       ;
; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[9]          ; 5       ;
; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[0]          ; 5       ;
; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[1]          ; 5       ;
; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[6]          ; 5       ;
; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[4]          ; 5       ;
; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[3]          ; 5       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|Q_t~244                   ; 5       ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux270~0              ; 5       ;
; DI_CPU~43                                                   ; 5       ;
; DI_CPU[4]~42                                                ; 5       ;
; LessThan6~0                                                 ; 5       ;
; DI_CPU~15                                                   ; 5       ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux273~3              ; 5       ;
; T80se:z80_inst|T80:u0|RegAddrA[0]~7                         ; 5       ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux274~4              ; 5       ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux272~1              ; 5       ;
; T80se:z80_inst|T80:u0|DO[3]~14                              ; 5       ;
; T80se:z80_inst|T80:u0|SP[15]                                ; 5       ;
; T80se:z80_inst|T80:u0|SP[14]                                ; 5       ;
; T80se:z80_inst|T80:u0|SP[13]                                ; 5       ;
; T80se:z80_inst|T80:u0|SP[12]                                ; 5       ;
; T80se:z80_inst|T80:u0|SP[11]                                ; 5       ;
; T80se:z80_inst|T80:u0|SP[10]                                ; 5       ;
; T80se:z80_inst|T80:u0|SP[9]                                 ; 5       ;
; T80se:z80_inst|T80:u0|SP[8]                                 ; 5       ;
; T80se:z80_inst|T80:u0|PC[7]                                 ; 5       ;
; T80se:z80_inst|T80:u0|SP[7]                                 ; 5       ;
; T80se:z80_inst|T80:u0|PC[6]                                 ; 5       ;
; T80se:z80_inst|T80:u0|SP[6]                                 ; 5       ;
; T80se:z80_inst|T80:u0|PC[5]                                 ; 5       ;
; T80se:z80_inst|T80:u0|SP[5]                                 ; 5       ;
; T80se:z80_inst|T80:u0|PC[4]                                 ; 5       ;
; T80se:z80_inst|T80:u0|SP[4]                                 ; 5       ;
; T80se:z80_inst|T80:u0|PC[3]                                 ; 5       ;
; T80se:z80_inst|T80:u0|SP[3]                                 ; 5       ;
; T80se:z80_inst|T80:u0|PC[2]                                 ; 5       ;
; T80se:z80_inst|T80:u0|SP[2]                                 ; 5       ;
; T80se:z80_inst|T80:u0|PC[1]                                 ; 5       ;
; T80se:z80_inst|T80:u0|SP[1]                                 ; 5       ;
; T80se:z80_inst|T80:u0|SP[0]                                 ; 5       ;
; T80se:z80_inst|T80:u0|XY_Ind                                ; 5       ;
; T80se:z80_inst|T80:u0|RegAddrA~2                            ; 5       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|Q_t~106                   ; 5       ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux75~15              ; 5       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|Q_t~87                    ; 5       ;
; T80se:z80_inst|T80:u0|Equal3~3                              ; 5       ;
; T80se:z80_inst|T80:u0|process_0~2                           ; 5       ;
; Equal21~1                                                   ; 5       ;
; Equal21~0                                                   ; 5       ;
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR             ; 5       ;
; T80se:z80_inst|T80:u0|PC[15]                                ; 5       ;
; T80se:z80_inst|T80:u0|PC[14]                                ; 5       ;
; T80se:z80_inst|T80:u0|PC[13]                                ; 5       ;
; T80se:z80_inst|T80:u0|PC[12]                                ; 5       ;
; T80se:z80_inst|T80:u0|PC[11]                                ; 5       ;
; T80se:z80_inst|T80:u0|PC[10]                                ; 5       ;
; T80se:z80_inst|T80:u0|PC[9]                                 ; 5       ;
; T80se:z80_inst|T80:u0|PC[8]                                 ; 5       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|Mux12~3                   ; 4       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|Mux10~1                   ; 4       ;
; T80se:z80_inst|T80:u0|ACC[0]~39                             ; 4       ;
; T80se:z80_inst|T80:u0|ACC[0]~38                             ; 4       ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux92~6               ; 4       ;
; DI_CPU[4]~116                                               ; 4       ;
; clk_div:clkdiv_inst|clock_1Mhz_int                          ; 4       ;
; clk_div:clkdiv_inst|count_100Khz[1]                         ; 4       ;
; clk_div:clkdiv_inst|count_100Khz[0]                         ; 4       ;
; clk_div:clkdiv_inst|count_10Khz[1]                          ; 4       ;
; clk_div:clkdiv_inst|count_10Khz[0]                          ; 4       ;
; clk_div:clkdiv_inst|count_1Khz[1]                           ; 4       ;
; clk_div:clkdiv_inst|count_1Khz[0]                           ; 4       ;
; clk_div:clkdiv_inst|count_100hz[1]                          ; 4       ;
; clk_div:clkdiv_inst|count_100hz[0]                          ; 4       ;
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]~1            ; 4       ;
; Decoder0~36                                                 ; 4       ;
; Decoder0~34                                                 ; 4       ;
; Decoder0~30                                                 ; 4       ;
; Decoder0~28                                                 ; 4       ;
; Decoder0~24                                                 ; 4       ;
; Decoder0~22                                                 ; 4       ;
; Decoder0~18                                                 ; 4       ;
; Decoder0~16                                                 ; 4       ;
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]              ; 4       ;
; clk_div:clkdiv_inst|count_357Mhz[0]                         ; 4       ;
; ps2kbd:ps2_kbd_inst|WideNor0~2                              ; 4       ;
; T80se:z80_inst|T80:u0|F[3]~58                               ; 4       ;
; LCD:lcd_inst|state.display_on                               ; 4       ;
; next_char_sig[0]                                            ; 4       ;
; next_char_sig[3]                                            ; 4       ;
; next_char_sig[2]                                            ; 4       ;
; LCD:lcd_inst|state.line2                                    ; 4       ;
; LCD:lcd_inst|state.mode_set                                 ; 4       ;
; video:video_inst|VGA_SYNC:vga_sync_inst|process_0~8         ; 4       ;
; video:video_inst|VGA_SYNC:vga_sync_inst|LessThan1~2         ; 4       ;
; video:video_inst|VGA_SYNC:vga_sync_inst|Equal0~2            ; 4       ;
; T80se:z80_inst|T80:u0|Equal4~5                              ; 4       ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux251~4              ; 4       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|Q_t~270                   ; 4       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|Q_t~254                   ; 4       ;
; cram_wea~0                                                  ; 4       ;
; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[2]          ; 4       ;
; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[1]          ; 4       ;
; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[0]          ; 4       ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux69~2               ; 4       ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux199~1              ; 4       ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Inc_PC~2              ; 4       ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux293~2              ; 4       ;
; T80se:z80_inst|T80:u0|process_0~8                           ; 4       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|Mux28~5                   ; 4       ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Special_LD[1]~0       ; 4       ;
; T80se:z80_inst|T80:u0|process_0~7                           ; 4       ;
; T80se:z80_inst|T80:u0|Arith16_r                             ; 4       ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux295~0              ; 4       ;
; DI_CPU[4]~64                                                ; 4       ;
; DI_CPU[4]~59                                                ; 4       ;
; DI_CPU[5]~54                                                ; 4       ;
; DI_CPU~40                                                   ; 4       ;
; DI_CPU~34                                                   ; 4       ;
; DI_CPU[2]~33                                                ; 4       ;
; DI_CPU[2]~19                                                ; 4       ;
; lcdvram~1                                                   ; 4       ;
; T80se:z80_inst|T80:u0|Halt_FF                               ; 4       ;
; T80se:z80_inst|T80:u0|ISet~1                                ; 4       ;
; T80se:z80_inst|T80:u0|IntE_FF2~2                            ; 4       ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux272~6              ; 4       ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux272~4              ; 4       ;
; T80se:z80_inst|T80:u0|process_3~0                           ; 4       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|Mux8~4                    ; 4       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|DAA_Q[7]~14               ; 4       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|Mux21~2                   ; 4       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|Q_t~226                   ; 4       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|Q_t~222                   ; 4       ;
; T80se:z80_inst|T80:u0|Read_To_Reg_r[3]                      ; 4       ;
; T80se:z80_inst|T80:u0|Read_To_Reg_r[1]                      ; 4       ;
; T80se:z80_inst|T80:u0|Read_To_Reg_r[2]                      ; 4       ;
; T80se:z80_inst|T80:u0|SP[8]~0                               ; 4       ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux199~0              ; 4       ;
; video:video_inst|VGA_SYNC:vga_sync_inst|blue_out~1          ; 4       ;
; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[1]     ; 4       ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux155~0              ; 4       ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux262~11             ; 4       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|Mux32~4                  ; 4       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|Mux33~4                  ; 4       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|Mux34~4                  ; 4       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|Mux35~4                  ; 4       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|Mux36~4                  ; 4       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|Mux37~4                  ; 4       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|Mux38~4                  ; 4       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|Mux39~4                  ; 4       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|Mux40~4                  ; 4       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|Mux41~4                  ; 4       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|Mux42~4                  ; 4       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|Mux43~4                  ; 4       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|Mux44~4                  ; 4       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|Mux45~4                  ; 4       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|Mux46~4                  ; 4       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|Q_t~116                   ; 4       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|Q_t~112                   ; 4       ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux146~7              ; 4       ;
; T80se:z80_inst|T80:u0|NextIs_XY_Fetch~2                     ; 4       ;
; T80se:z80_inst|T80:u0|Equal4~3                              ; 4       ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux71~0               ; 4       ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux297~0              ; 4       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|Q_t~84                    ; 4       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|Mux47~4                  ; 4       ;
; T80se:z80_inst|IORQ_n                                       ; 4       ;
; PS2_DAT~input                                               ; 3       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|Mux15~1                   ; 3       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|Mux14~1                   ; 3       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|Mux13~1                   ; 3       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|Mux11~1                   ; 3       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|Mux9~1                    ; 3       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|Q_t~370                   ; 3       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|Q_t~366                   ; 3       ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux69~9               ; 3       ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux12~2               ; 3       ;
; T80se:z80_inst|T80:u0|TmpAddr[0]~54                         ; 3       ;
; T80se:z80_inst|T80:u0|process_1~10                          ; 3       ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux275~2              ; 3       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|Q_t~345                   ; 3       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|Q_t~342                   ; 3       ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux110~3              ; 3       ;
; clk_div:clkdiv_inst|count_100Khz[2]                         ; 3       ;
; clk_div:clkdiv_inst|count_10Khz[2]                          ; 3       ;
; clk_div:clkdiv_inst|count_1Khz[2]                           ; 3       ;
; clk_div:clkdiv_inst|count_100hz[2]                          ; 3       ;
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|filter[2]             ; 3       ;
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]              ; 3       ;
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 3       ;
; clk_div:clkdiv_inst|count_10Mhz[2]                          ; 3       ;
; clk_div:clkdiv_inst|count_10Mhz[1]                          ; 3       ;
; LCD:lcd_inst|state.display_off                              ; 3       ;
; LCD:lcd_inst|state.display_clear                            ; 3       ;
; next_char_sig[7]                                            ; 3       ;
; next_char_sig[6]                                            ; 3       ;
; next_char_sig[5]                                            ; 3       ;
; next_char_sig[4]                                            ; 3       ;
; LCD:lcd_inst|state.return_home                              ; 3       ;
; LCD:lcd_inst|Equal2~1                                       ; 3       ;
; LCD:lcd_inst|Equal2~0                                       ; 3       ;
; T80se:z80_inst|T80:u0|Pre_XY_F_M[2]~1                       ; 3       ;
; T80se:z80_inst|T80:u0|process_1~9                           ; 3       ;
; T80se:z80_inst|T80:u0|F[3]~38                               ; 3       ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux251~5              ; 3       ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux215~2              ; 3       ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux122~4              ; 3       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|Q_t~276                   ; 3       ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux247~9              ; 3       ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux235~0              ; 3       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|Q_t~250                   ; 3       ;
; vram_wea~0                                                  ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|Mux0~4                   ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|Mux1~4                   ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|Mux2~4                   ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|Mux3~4                   ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|Mux4~4                   ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|Mux5~4                   ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|Mux6~4                   ; 3       ;
; T80se:z80_inst|T80:u0|F~32                                  ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|Mux7~4                   ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|Mux8~4                   ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|Mux9~4                   ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|Mux10~4                  ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|Mux11~4                  ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|Mux12~4                  ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|Mux13~4                  ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|Mux14~4                  ; 3       ;
; T80se:z80_inst|Equal1~1                                     ; 3       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|Q_t~242                   ; 3       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|Q_t~241                   ; 3       ;
; \random:rand_temp[3]                                        ; 3       ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux102~1              ; 3       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|Q_t~239                   ; 3       ;
; \random:rand_temp[5]                                        ; 3       ;
; \random:rand_temp[2]                                        ; 3       ;
; T80se:z80_inst|T80:u0|Pre_XY_F_M[0]                         ; 3       ;
; T80se:z80_inst|T80:u0|process_7~1                           ; 3       ;
; T80se:z80_inst|T80:u0|IncDecZ                               ; 3       ;
; \random:rand_temp[14]                                       ; 3       ;
; \random:rand_temp[7]                                        ; 3       ;
; \random:rand_temp[0]                                        ; 3       ;
; DI_CPU[6]~39                                                ; 3       ;
; DI_CPU[4]~38                                                ; 3       ;
; DI_CPU~36                                                   ; 3       ;
; DI_CPU~32                                                   ; 3       ;
; DI_CPU~25                                                   ; 3       ;
; DI_CPU[2]~21                                                ; 3       ;
; DI_CPU~20                                                   ; 3       ;
; DI_CPU~18                                                   ; 3       ;
; \random:rand_temp[1]                                        ; 3       ;
; DI_CPU~8                                                    ; 3       ;
; T80se:z80_inst|T80:u0|process_3~1                           ; 3       ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux272~7              ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|Mux15~4                  ; 3       ;
; T80se:z80_inst|T80:u0|RegAddrA~9                            ; 3       ;
; T80se:z80_inst|T80:u0|RegAddrA~6                            ; 3       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|Q_t~238                   ; 3       ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux272~2              ; 3       ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux274~1              ; 3       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|Q_t~230                   ; 3       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|DAA_Q[6]~13               ; 3       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|Q_t~228                   ; 3       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|LessThan0~2               ; 3       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|LessThan3~1               ; 3       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|DAA_Q[4]~7                ; 3       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|Q_t~224                   ; 3       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|Mux7~2                    ; 3       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|DAA_Q[3]~5                ; 3       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|Q_t~220                   ; 3       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|DAA_Q[2]~3                ; 3       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|Q_t~219                   ; 3       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|Mux7~1                    ; 3       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|Q_t~217                   ; 3       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|Q_t~215                   ; 3       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|Mux22~1                   ; 3       ;
; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[8]        ; 3       ;
; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[7]        ; 3       ;
; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[6]        ; 3       ;
; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[5]        ; 3       ;
; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[0]     ; 3       ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux291~8              ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[3][7]              ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[0][7]              ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[1][7]              ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[2][7]              ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[7][7]              ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[4][7]              ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[6][7]              ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[5][7]              ; 3       ;
; T80se:z80_inst|T80:u0|TmpAddr[15]                           ; 3       ;
; T80se:z80_inst|T80:u0|TmpAddr[14]                           ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[3][6]              ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[0][6]              ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[1][6]              ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[2][6]              ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[7][6]              ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[4][6]              ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[6][6]              ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[5][6]              ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[3][5]              ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[0][5]              ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[1][5]              ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[2][5]              ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[7][5]              ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[4][5]              ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[6][5]              ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[5][5]              ; 3       ;
; T80se:z80_inst|T80:u0|TmpAddr[13]                           ; 3       ;
; T80se:z80_inst|T80:u0|TmpAddr[12]                           ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[3][4]              ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[0][4]              ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[1][4]              ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[2][4]              ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[7][4]              ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[4][4]              ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[6][4]              ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[5][4]              ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[3][3]              ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[0][3]              ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[1][3]              ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[2][3]              ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[7][3]              ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[4][3]              ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[6][3]              ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[5][3]              ; 3       ;
; T80se:z80_inst|T80:u0|TmpAddr[11]                           ; 3       ;
; T80se:z80_inst|T80:u0|TmpAddr[10]                           ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[3][2]              ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[0][2]              ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[1][2]              ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[2][2]              ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[7][2]              ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[4][2]              ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[6][2]              ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[5][2]              ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[3][1]              ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[0][1]              ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[1][1]              ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[2][1]              ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[7][1]              ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[4][1]              ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[6][1]              ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[5][1]              ; 3       ;
; T80se:z80_inst|T80:u0|TmpAddr[9]                            ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[3][0]              ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[0][0]              ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[1][0]              ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[2][0]              ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[7][0]              ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[4][0]              ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[6][0]              ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[5][0]              ; 3       ;
; T80se:z80_inst|T80:u0|TmpAddr[8]                            ; 3       ;
; T80se:z80_inst|T80:u0|R[7]                                  ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[3][7]              ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[0][7]              ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[1][7]              ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[2][7]              ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[7][7]              ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[4][7]              ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[6][7]              ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[5][7]              ; 3       ;
; T80se:z80_inst|T80:u0|TmpAddr[7]                            ; 3       ;
; T80se:z80_inst|T80:u0|TmpAddr[6]                            ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[3][6]              ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[0][6]              ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[1][6]              ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[2][6]              ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[7][6]              ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[4][6]              ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[6][6]              ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[5][6]              ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[3][5]              ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[0][5]              ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[1][5]              ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[2][5]              ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[7][5]              ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[4][5]              ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[6][5]              ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[5][5]              ; 3       ;
; T80se:z80_inst|T80:u0|TmpAddr[5]                            ; 3       ;
; T80se:z80_inst|T80:u0|TmpAddr[4]                            ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[3][4]              ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[0][4]              ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[1][4]              ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[2][4]              ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[7][4]              ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[4][4]              ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[6][4]              ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[5][4]              ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[3][3]              ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[0][3]              ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[1][3]              ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[2][3]              ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[7][3]              ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[4][3]              ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[6][3]              ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[5][3]              ; 3       ;
; T80se:z80_inst|T80:u0|TmpAddr[3]                            ; 3       ;
; T80se:z80_inst|T80:u0|TmpAddr[2]                            ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[3][2]              ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[0][2]              ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[1][2]              ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[2][2]              ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[7][2]              ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[4][2]              ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[6][2]              ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[5][2]              ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[3][1]              ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[0][1]              ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[1][1]              ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[2][1]              ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[7][1]              ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[4][1]              ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[6][1]              ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[5][1]              ; 3       ;
; T80se:z80_inst|T80:u0|TmpAddr[1]                            ; 3       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|Q_t~168                   ; 3       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|Q_t~162                   ; 3       ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux262~4              ; 3       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|Q_t~147                   ; 3       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|Q_t~140                   ; 3       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|Q_t~135                   ; 3       ;
; T80se:z80_inst|T80:u0|TmpAddr[0]                            ; 3       ;
; T80se:z80_inst|T80:u0|process_1~6                           ; 3       ;
; T80se:z80_inst|T80:u0|A[7]~2                                ; 3       ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux217~1              ; 3       ;
; T80se:z80_inst|T80:u0|A[10]~1                               ; 3       ;
; T80se:z80_inst|T80:u0|NextIs_XY_Fetch~0                     ; 3       ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Set_Addr_To~0         ; 3       ;
; T80se:z80_inst|T80:u0|Equal3~4                              ; 3       ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux296~0              ; 3       ;
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux297~1              ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[3][0]              ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[0][0]              ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[1][0]              ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[2][0]              ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[7][0]              ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[4][0]              ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[6][0]              ; 3       ;
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[5][0]              ; 3       ;
; LCDON_reg~0                                                 ; 3       ;
; T80se:z80_inst|WR_n                                         ; 3       ;
; clk_div:clkdiv_inst|count_1Mhz[4]                           ; 3       ;
; clk_div:clkdiv_inst|count_1Mhz[3]                           ; 3       ;
; T80se:z80_inst|T80:u0|T80_ALU:alu|Add1~2                    ; 3       ;
; T80se:z80_inst|T80:u0|R[6]                                  ; 3       ;
; T80se:z80_inst|T80:u0|R[5]                                  ; 3       ;
; T80se:z80_inst|T80:u0|R[4]                                  ; 3       ;
; T80se:z80_inst|T80:u0|R[3]                                  ; 3       ;
; T80se:z80_inst|T80:u0|R[2]                                  ; 3       ;
; T80se:z80_inst|T80:u0|R[1]                                  ; 3       ;
; T80se:z80_inst|T80:u0|R[0]                                  ; 3       ;
; CLOCK_50~input                                              ; 2       ;
; lcdvram[15][7]                                              ; 2       ;
; lcdvram[12][7]                                              ; 2       ;
; lcdvram[13][7]                                              ; 2       ;
; lcdvram[14][7]                                              ; 2       ;
; lcdvram[2][7]                                               ; 2       ;
; lcdvram[3][7]                                               ; 2       ;
; lcdvram[0][7]                                               ; 2       ;
; lcdvram[1][7]                                               ; 2       ;
; lcdvram[11][7]                                              ; 2       ;
; lcdvram[8][7]                                               ; 2       ;
; lcdvram[10][7]                                              ; 2       ;
; lcdvram[9][7]                                               ; 2       ;
; lcdvram[7][7]                                               ; 2       ;
; lcdvram[4][7]                                               ; 2       ;
; lcdvram[5][7]                                               ; 2       ;
; lcdvram[6][7]                                               ; 2       ;
; lcdvram[31][7]                                              ; 2       ;
; lcdvram[19][7]                                              ; 2       ;
; lcdvram[27][7]                                              ; 2       ;
; lcdvram[23][7]                                              ; 2       ;
; lcdvram[28][7]                                              ; 2       ;
; lcdvram[16][7]                                              ; 2       ;
; lcdvram[20][7]                                              ; 2       ;
; lcdvram[24][7]                                              ; 2       ;
; lcdvram[30][7]                                              ; 2       ;
; lcdvram[18][7]                                              ; 2       ;
; lcdvram[22][7]                                              ; 2       ;
; lcdvram[26][7]                                              ; 2       ;
; lcdvram[29][7]                                              ; 2       ;
; lcdvram[17][7]                                              ; 2       ;
; lcdvram[25][7]                                              ; 2       ;
; lcdvram[21][7]                                              ; 2       ;
; lcdvram[15][6]                                              ; 2       ;
; lcdvram[12][6]                                              ; 2       ;
; lcdvram[14][6]                                              ; 2       ;
; lcdvram[13][6]                                              ; 2       ;
; lcdvram[3][6]                                               ; 2       ;
; lcdvram[0][6]                                               ; 2       ;
; lcdvram[1][6]                                               ; 2       ;
; lcdvram[2][6]                                               ; 2       ;
; lcdvram[7][6]                                               ; 2       ;
; lcdvram[4][6]                                               ; 2       ;
; lcdvram[6][6]                                               ; 2       ;
; lcdvram[5][6]                                               ; 2       ;
; lcdvram[11][6]                                              ; 2       ;
; lcdvram[8][6]                                               ; 2       ;
; lcdvram[9][6]                                               ; 2       ;
; lcdvram[10][6]                                              ; 2       ;
; lcdvram[31][6]                                              ; 2       ;
; lcdvram[19][6]                                              ; 2       ;
; lcdvram[23][6]                                              ; 2       ;
; lcdvram[27][6]                                              ; 2       ;
; lcdvram[28][6]                                              ; 2       ;
; lcdvram[16][6]                                              ; 2       ;
; lcdvram[24][6]                                              ; 2       ;
; lcdvram[20][6]                                              ; 2       ;
; lcdvram[29][6]                                              ; 2       ;
; lcdvram[17][6]                                              ; 2       ;
; lcdvram[21][6]                                              ; 2       ;
; lcdvram[25][6]                                              ; 2       ;
; lcdvram[30][6]                                              ; 2       ;
; lcdvram[18][6]                                              ; 2       ;
; lcdvram[26][6]                                              ; 2       ;
; lcdvram[22][6]                                              ; 2       ;
; lcdvram[15][5]                                              ; 2       ;
; lcdvram[12][5]                                              ; 2       ;
; lcdvram[13][5]                                              ; 2       ;
; lcdvram[11][5]                                              ; 2       ;
; lcdvram[8][5]                                               ; 2       ;
; lcdvram[10][5]                                              ; 2       ;
; lcdvram[9][5]                                               ; 2       ;
; lcdvram[14][5]                                              ; 2       ;
; lcdvram[1][5]                                               ; 2       ;
; lcdvram[3][5]                                               ; 2       ;
; lcdvram[0][5]                                               ; 2       ;
; lcdvram[2][5]                                               ; 2       ;
; lcdvram[7][5]                                               ; 2       ;
; lcdvram[4][5]                                               ; 2       ;
; lcdvram[5][5]                                               ; 2       ;
; lcdvram[6][5]                                               ; 2       ;
; lcdvram[31][5]                                              ; 2       ;
; lcdvram[19][5]                                              ; 2       ;
; lcdvram[27][5]                                              ; 2       ;
; lcdvram[23][5]                                              ; 2       ;
; lcdvram[28][5]                                              ; 2       ;
; lcdvram[16][5]                                              ; 2       ;
; lcdvram[20][5]                                              ; 2       ;
; lcdvram[24][5]                                              ; 2       ;
; lcdvram[30][5]                                              ; 2       ;
; lcdvram[18][5]                                              ; 2       ;
; lcdvram[22][5]                                              ; 2       ;
; lcdvram[26][5]                                              ; 2       ;
; lcdvram[29][5]                                              ; 2       ;
; lcdvram[17][5]                                              ; 2       ;
; lcdvram[25][5]                                              ; 2       ;
; lcdvram[21][5]                                              ; 2       ;
; lcdvram[15][4]                                              ; 2       ;
; lcdvram[12][4]                                              ; 2       ;
; lcdvram[14][4]                                              ; 2       ;
; lcdvram[13][4]                                              ; 2       ;
; lcdvram[3][4]                                               ; 2       ;
; lcdvram[0][4]                                               ; 2       ;
; lcdvram[1][4]                                               ; 2       ;
; lcdvram[2][4]                                               ; 2       ;
; lcdvram[7][4]                                               ; 2       ;
; lcdvram[4][4]                                               ; 2       ;
; lcdvram[6][4]                                               ; 2       ;
; lcdvram[5][4]                                               ; 2       ;
; lcdvram[11][4]                                              ; 2       ;
; lcdvram[8][4]                                               ; 2       ;
; lcdvram[9][4]                                               ; 2       ;
; lcdvram[10][4]                                              ; 2       ;
; lcdvram[31][4]                                              ; 2       ;
; lcdvram[19][4]                                              ; 2       ;
; lcdvram[23][4]                                              ; 2       ;
; lcdvram[27][4]                                              ; 2       ;
; lcdvram[28][4]                                              ; 2       ;
; lcdvram[16][4]                                              ; 2       ;
; lcdvram[24][4]                                              ; 2       ;
; lcdvram[20][4]                                              ; 2       ;
; lcdvram[29][4]                                              ; 2       ;
; lcdvram[17][4]                                              ; 2       ;
; lcdvram[21][4]                                              ; 2       ;
; lcdvram[25][4]                                              ; 2       ;
; lcdvram[30][4]                                              ; 2       ;
; lcdvram[18][4]                                              ; 2       ;
; lcdvram[26][4]                                              ; 2       ;
; lcdvram[22][4]                                              ; 2       ;
; lcdvram[15][0]                                              ; 2       ;
; lcdvram[12][0]                                              ; 2       ;
+-------------------------------------------------------------+---------+


+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Fitter RAM Summary                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                    ;
+------------------------------------------------------------------------------------------+------+------------------+--------------+--------------+--------------+--------------+--------------+------------------------+-------------------------+------------------------+-------------------------+--------+-----------------------------+-----------------------------+-----------------------------+-----------------------------+---------------------+------+-----------------------+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+----------------------+-----------------+-----------------+
; Name                                                                                     ; Type ; Mode             ; Clock Mode   ; Port A Depth ; Port A Width ; Port B Depth ; Port B Width ; Port A Input Registers ; Port A Output Registers ; Port B Input Registers ; Port B Output Registers ; Size   ; Implementation Port A Depth ; Implementation Port A Width ; Implementation Port B Depth ; Implementation Port B Width ; Implementation Bits ; M9Ks ; MIF                   ; Location                                                                                                                                                                                                                                                       ; Mixed Width RDW Mode ; Port A RDW Mode ; Port B RDW Mode ;
+------------------------------------------------------------------------------------------+------+------------------+--------------+--------------+--------------+--------------+--------------+------------------------+-------------------------+------------------------+-------------------------+--------+-----------------------------+-----------------------------+-----------------------------+-----------------------------+---------------------+------+-----------------------+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+----------------------+-----------------+-----------------+
; charram:cram|altsyncram:altsyncram_component|altsyncram_l4o1:auto_generated|ALTSYNCRAM   ; AUTO ; Simple Dual Port ; Dual Clocks  ; 2048         ; 8            ; 2048         ; 8            ; yes                    ; no                      ; yes                    ; no                      ; 16384  ; 2048                        ; 8                           ; 2048                        ; 8                           ; 16384               ; 2    ; ./ROMdata/lat9-08.mif ; M9K_X51_Y35_N0, M9K_X51_Y34_N0                                                                                                                                                                                                                                 ; Don't care           ; Old data        ; Old data        ;
; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ALTSYNCRAM   ; AUTO ; ROM              ; Single Clock ; 16384        ; 8            ; --           ; --           ; yes                    ; yes                     ; --                     ; --                      ; 131072 ; 16384                       ; 8                           ; --                          ; --                          ; 131072              ; 16   ; ../ROMdata/rom.hex    ; M9K_X51_Y28_N0, M9K_X64_Y35_N0, M9K_X37_Y28_N0, M9K_X15_Y35_N0, M9K_X51_Y29_N0, M9K_X51_Y36_N0, M9K_X37_Y29_N0, M9K_X64_Y36_N0, M9K_X51_Y25_N0, M9K_X51_Y27_N0, M9K_X64_Y32_N0, M9K_X37_Y35_N0, M9K_X64_Y34_N0, M9K_X37_Y36_N0, M9K_X51_Y26_N0, M9K_X37_Y30_N0 ; Don't care           ; Old data        ; Old data        ;
; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Dual Clocks  ; 6143         ; 8            ; 6143         ; 8            ; yes                    ; no                      ; yes                    ; no                      ; 49144  ; 6143                        ; 8                           ; 6143                        ; 8                           ; 49144               ; 8    ; None                  ; M9K_X37_Y32_N0, M9K_X51_Y30_N0, M9K_X37_Y34_N0, M9K_X51_Y33_N0, M9K_X51_Y31_N0, M9K_X37_Y31_N0, M9K_X51_Y32_N0, M9K_X37_Y33_N0                                                                                                                                 ; Don't care           ; Old data        ; Old data        ;
+------------------------------------------------------------------------------------------+------+------------------+--------------+--------------+--------------+--------------+--------------+------------------------+-------------------------+------------------------+-------------------------+--------+-----------------------------+-----------------------------+-----------------------------+-----------------------------+---------------------+------+-----------------------+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+----------------------+-----------------+-----------------+
Note: Fitter may spread logical memories into multiple blocks to improve timing. The actual required RAM blocks can be found in the Fitter Resource Usage section.


RAM content values are presented in the following format: (Binary) (Octal) (Decimal) (Hexadecimal) 
+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; |Z80SOC|charram:cram|altsyncram:altsyncram_component|altsyncram_l4o1:auto_generated|ALTSYNCRAM                                                                                                                                                                   ;
+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
;   Addr   ;              +0              ;              +1              ;              +2              ;              +3              ;              +4              ;              +5              ;              +6              ;              +7              ;
+----------+------------------------------+------------------------------+------------------------------+------------------------------+------------------------------+------------------------------+------------------------------+------------------------------+
;0;(00111111) (77) (63) (3F)    ;(01100001) (141) (97) (61)   ;(01001100) (114) (76) (4C)   ;(01111001) (171) (121) (79)   ;(01110011) (163) (115) (73)   ;(01111111) (177) (127) (7F)   ;(01110011) (163) (115) (73)   ;(00111111) (77) (63) (3F)   ;
;8;(00000000) (0) (0) (00)    ;(00111011) (73) (59) (3B)   ;(01101110) (156) (110) (6E)   ;(00000000) (0) (0) (00)   ;(00111011) (73) (59) (3B)   ;(01101110) (156) (110) (6E)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;16;(00111011) (73) (59) (3B)    ;(01101100) (154) (108) (6C)   ;(01101100) (154) (108) (6C)   ;(01101110) (156) (110) (6E)   ;(01101100) (154) (108) (6C)   ;(01101100) (154) (108) (6C)   ;(00111011) (73) (59) (3B)   ;(00000000) (0) (0) (00)   ;
;24;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00110111) (67) (55) (37)   ;(01101100) (154) (108) (6C)   ;(01101111) (157) (111) (6F)   ;(01101100) (154) (108) (6C)   ;(00110111) (67) (55) (37)   ;(00000000) (0) (0) (00)   ;
;32;(00001000) (10) (8) (08)    ;(00011100) (34) (28) (1C)   ;(00111110) (76) (62) (3E)   ;(01111111) (177) (127) (7F)   ;(00111110) (76) (62) (3E)   ;(00011100) (34) (28) (1C)   ;(00001000) (10) (8) (08)   ;(00000000) (0) (0) (00)   ;
;40;(01010000) (120) (80) (50)    ;(01010000) (120) (80) (50)   ;(01110000) (160) (112) (70)   ;(01010111) (127) (87) (57)   ;(01010010) (122) (82) (52)   ;(00000010) (2) (2) (02)   ;(00000010) (2) (2) (02)   ;(00000010) (2) (2) (02)   ;
;48;(01110000) (160) (112) (70)    ;(01000000) (100) (64) (40)   ;(01100000) (140) (96) (60)   ;(01000111) (107) (71) (47)   ;(01000100) (104) (68) (44)   ;(00000110) (6) (6) (06)   ;(00000100) (4) (4) (04)   ;(00000100) (4) (4) (04)   ;
;56;(00110000) (60) (48) (30)    ;(01000000) (100) (64) (40)   ;(01000000) (100) (64) (40)   ;(01000110) (106) (70) (46)   ;(00110101) (65) (53) (35)   ;(00000110) (6) (6) (06)   ;(00000101) (5) (5) (05)   ;(00000101) (5) (5) (05)   ;
;64;(01000000) (100) (64) (40)    ;(01000000) (100) (64) (40)   ;(01000000) (100) (64) (40)   ;(01000111) (107) (71) (47)   ;(01110100) (164) (116) (74)   ;(00000110) (6) (6) (06)   ;(00000100) (4) (4) (04)   ;(00000100) (4) (4) (04)   ;
;72;(00010001) (21) (17) (11)    ;(01000100) (104) (68) (44)   ;(00010001) (21) (17) (11)   ;(01000100) (104) (68) (44)   ;(00010001) (21) (17) (11)   ;(01000100) (104) (68) (44)   ;(00010001) (21) (17) (11)   ;(01000100) (104) (68) (44)   ;
;80;(00101010) (52) (42) (2A)    ;(01010101) (125) (85) (55)   ;(00101010) (52) (42) (2A)   ;(01010101) (125) (85) (55)   ;(00101010) (52) (42) (2A)   ;(01010101) (125) (85) (55)   ;(00101010) (52) (42) (2A)   ;(01010101) (125) (85) (55)   ;
;88;(01110111) (167) (119) (77)    ;(01011101) (135) (93) (5D)   ;(01110111) (167) (119) (77)   ;(01011101) (135) (93) (5D)   ;(01110111) (167) (119) (77)   ;(01011101) (135) (93) (5D)   ;(01110111) (167) (119) (77)   ;(01011101) (135) (93) (5D)   ;
;96;(01111111) (177) (127) (7F)    ;(01111111) (177) (127) (7F)   ;(01111111) (177) (127) (7F)   ;(01111111) (177) (127) (7F)   ;(01111111) (177) (127) (7F)   ;(01111111) (177) (127) (7F)   ;(01111111) (177) (127) (7F)   ;(01111111) (177) (127) (7F)   ;
;104;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(01111111) (177) (127) (7F)   ;(01111111) (177) (127) (7F)   ;(01111111) (177) (127) (7F)   ;(01111111) (177) (127) (7F)   ;
;112;(01111111) (177) (127) (7F)    ;(01111111) (177) (127) (7F)   ;(01111111) (177) (127) (7F)   ;(01111111) (177) (127) (7F)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;120;(01111000) (170) (120) (78)    ;(01111000) (170) (120) (78)   ;(01111000) (170) (120) (78)   ;(01111000) (170) (120) (78)   ;(01111000) (170) (120) (78)   ;(01111000) (170) (120) (78)   ;(01111000) (170) (120) (78)   ;(01111000) (170) (120) (78)   ;
;128;(00000111) (7) (7) (07)    ;(00000111) (7) (7) (07)   ;(00000111) (7) (7) (07)   ;(00000111) (7) (7) (07)   ;(00000111) (7) (7) (07)   ;(00000111) (7) (7) (07)   ;(00000111) (7) (7) (07)   ;(00000111) (7) (7) (07)   ;
;136;(01001000) (110) (72) (48)    ;(01101000) (150) (104) (68)   ;(01111000) (170) (120) (78)   ;(01011010) (132) (90) (5A)   ;(01001010) (112) (74) (4A)   ;(00000010) (2) (2) (02)   ;(00000010) (2) (2) (02)   ;(00000011) (3) (3) (03)   ;
;144;(01010000) (120) (80) (50)    ;(01010000) (120) (80) (50)   ;(01010000) (120) (80) (50)   ;(01010111) (127) (87) (57)   ;(00100010) (42) (34) (22)   ;(00000010) (2) (2) (02)   ;(00000010) (2) (2) (02)   ;(00000010) (2) (2) (02)   ;
;152;(00001100) (14) (12) (0C)    ;(00011000) (30) (24) (18)   ;(00110000) (60) (48) (30)   ;(00011000) (30) (24) (18)   ;(00001100) (14) (12) (0C)   ;(00000000) (0) (0) (00)   ;(01111110) (176) (126) (7E)   ;(00000000) (0) (0) (00)   ;
;160;(00110000) (60) (48) (30)    ;(00011000) (30) (24) (18)   ;(00001100) (14) (12) (0C)   ;(00011000) (30) (24) (18)   ;(00110000) (60) (48) (30)   ;(00000000) (0) (0) (00)   ;(01111110) (176) (126) (7E)   ;(00000000) (0) (0) (00)   ;
;168;(00000000) (0) (0) (00)    ;(00000110) (6) (6) (06)   ;(01111111) (177) (127) (7F)   ;(00001100) (14) (12) (0C)   ;(00011000) (30) (24) (18)   ;(01111111) (177) (127) (7F)   ;(00110000) (60) (48) (30)   ;(00000000) (0) (0) (00)   ;
;176;(00000001) (1) (1) (01)    ;(00000111) (7) (7) (07)   ;(00011111) (37) (31) (1F)   ;(01111111) (177) (127) (7F)   ;(00011111) (37) (31) (1F)   ;(00000111) (7) (7) (07)   ;(00000001) (1) (1) (01)   ;(00000000) (0) (0) (00)   ;
;184;(01000000) (100) (64) (40)    ;(01110000) (160) (112) (70)   ;(01111100) (174) (124) (7C)   ;(01111111) (177) (127) (7F)   ;(01111100) (174) (124) (7C)   ;(01110000) (160) (112) (70)   ;(01000000) (100) (64) (40)   ;(00000000) (0) (0) (00)   ;
;192;(00001100) (14) (12) (0C)    ;(00011110) (36) (30) (1E)   ;(00111111) (77) (63) (3F)   ;(00001100) (14) (12) (0C)   ;(00001100) (14) (12) (0C)   ;(00001100) (14) (12) (0C)   ;(00001100) (14) (12) (0C)   ;(00000000) (0) (0) (00)   ;
;200;(00001100) (14) (12) (0C)    ;(00001100) (14) (12) (0C)   ;(00001100) (14) (12) (0C)   ;(00001100) (14) (12) (0C)   ;(00111111) (77) (63) (3F)   ;(00011110) (36) (30) (1E)   ;(00001100) (14) (12) (0C)   ;(00000000) (0) (0) (00)   ;
;208;(00000000) (0) (0) (00)    ;(00001100) (14) (12) (0C)   ;(00000110) (6) (6) (06)   ;(01111111) (177) (127) (7F)   ;(00000110) (6) (6) (06)   ;(00001100) (14) (12) (0C)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;216;(00000000) (0) (0) (00)    ;(00011000) (30) (24) (18)   ;(00110000) (60) (48) (30)   ;(01111111) (177) (127) (7F)   ;(00110000) (60) (48) (30)   ;(00011000) (30) (24) (18)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;224;(00001100) (14) (12) (0C)    ;(00011110) (36) (30) (1E)   ;(00111111) (77) (63) (3F)   ;(00001100) (14) (12) (0C)   ;(00001100) (14) (12) (0C)   ;(00111111) (77) (63) (3F)   ;(00011110) (36) (30) (1E)   ;(00001100) (14) (12) (0C)   ;
;232;(00000000) (0) (0) (00)    ;(00010010) (22) (18) (12)   ;(00110011) (63) (51) (33)   ;(01111111) (177) (127) (7F)   ;(00110011) (63) (51) (33)   ;(00010010) (22) (18) (12)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;240;(00000011) (3) (3) (03)    ;(00000011) (3) (3) (03)   ;(00011011) (33) (27) (1B)   ;(00110011) (63) (51) (33)   ;(01111111) (177) (127) (7F)   ;(00110000) (60) (48) (30)   ;(00011000) (30) (24) (18)   ;(00000000) (0) (0) (00)   ;
;248;(00000000) (0) (0) (00)    ;(01100000) (140) (96) (60)   ;(00111110) (76) (62) (3E)   ;(00110111) (67) (55) (37)   ;(00110110) (66) (54) (36)   ;(00110110) (66) (54) (36)   ;(00110110) (66) (54) (36)   ;(00000000) (0) (0) (00)   ;
;256;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;264;(00011000) (30) (24) (18)    ;(00111100) (74) (60) (3C)   ;(00111100) (74) (60) (3C)   ;(00011000) (30) (24) (18)   ;(00011000) (30) (24) (18)   ;(00000000) (0) (0) (00)   ;(00011000) (30) (24) (18)   ;(00000000) (0) (0) (00)   ;
;272;(00110110) (66) (54) (36)    ;(00110110) (66) (54) (36)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;280;(00110110) (66) (54) (36)    ;(00110110) (66) (54) (36)   ;(01111111) (177) (127) (7F)   ;(00110110) (66) (54) (36)   ;(01111111) (177) (127) (7F)   ;(00110110) (66) (54) (36)   ;(00110110) (66) (54) (36)   ;(00000000) (0) (0) (00)   ;
;288;(00011000) (30) (24) (18)    ;(00111110) (76) (62) (3E)   ;(01100000) (140) (96) (60)   ;(00111100) (74) (60) (3C)   ;(00000110) (6) (6) (06)   ;(01111100) (174) (124) (7C)   ;(00011000) (30) (24) (18)   ;(00000000) (0) (0) (00)   ;
;296;(00000000) (0) (0) (00)    ;(01100011) (143) (99) (63)   ;(01100110) (146) (102) (66)   ;(00001100) (14) (12) (0C)   ;(00011000) (30) (24) (18)   ;(00110011) (63) (51) (33)   ;(01100011) (143) (99) (63)   ;(00000000) (0) (0) (00)   ;
;304;(00011100) (34) (28) (1C)    ;(00110110) (66) (54) (36)   ;(00011100) (34) (28) (1C)   ;(00111011) (73) (59) (3B)   ;(01101110) (156) (110) (6E)   ;(01100110) (146) (102) (66)   ;(00111011) (73) (59) (3B)   ;(00000000) (0) (0) (00)   ;
;312;(00011000) (30) (24) (18)    ;(00011000) (30) (24) (18)   ;(00110000) (60) (48) (30)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;320;(00001100) (14) (12) (0C)    ;(00011000) (30) (24) (18)   ;(00110000) (60) (48) (30)   ;(00110000) (60) (48) (30)   ;(00110000) (60) (48) (30)   ;(00011000) (30) (24) (18)   ;(00001100) (14) (12) (0C)   ;(00000000) (0) (0) (00)   ;
;328;(00110000) (60) (48) (30)    ;(00011000) (30) (24) (18)   ;(00001100) (14) (12) (0C)   ;(00001100) (14) (12) (0C)   ;(00001100) (14) (12) (0C)   ;(00011000) (30) (24) (18)   ;(00110000) (60) (48) (30)   ;(00000000) (0) (0) (00)   ;
;336;(00000000) (0) (0) (00)    ;(00110011) (63) (51) (33)   ;(00011110) (36) (30) (1E)   ;(01111111) (177) (127) (7F)   ;(00011110) (36) (30) (1E)   ;(00110011) (63) (51) (33)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;344;(00000000) (0) (0) (00)    ;(00011000) (30) (24) (18)   ;(00011000) (30) (24) (18)   ;(01111110) (176) (126) (7E)   ;(00011000) (30) (24) (18)   ;(00011000) (30) (24) (18)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;352;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00011000) (30) (24) (18)   ;(00011000) (30) (24) (18)   ;(00110000) (60) (48) (30)   ;
;360;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(01111110) (176) (126) (7E)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;368;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00011000) (30) (24) (18)   ;(00011000) (30) (24) (18)   ;(00000000) (0) (0) (00)   ;
;376;(00000000) (0) (0) (00)    ;(00000011) (3) (3) (03)   ;(00000110) (6) (6) (06)   ;(00001100) (14) (12) (0C)   ;(00011000) (30) (24) (18)   ;(00110000) (60) (48) (30)   ;(01100000) (140) (96) (60)   ;(00000000) (0) (0) (00)   ;
;384;(00111110) (76) (62) (3E)    ;(01100011) (143) (99) (63)   ;(01100011) (143) (99) (63)   ;(01101011) (153) (107) (6B)   ;(01100011) (143) (99) (63)   ;(01100011) (143) (99) (63)   ;(00111110) (76) (62) (3E)   ;(00000000) (0) (0) (00)   ;
;392;(00011000) (30) (24) (18)    ;(00111000) (70) (56) (38)   ;(00011000) (30) (24) (18)   ;(00011000) (30) (24) (18)   ;(00011000) (30) (24) (18)   ;(00011000) (30) (24) (18)   ;(01111110) (176) (126) (7E)   ;(00000000) (0) (0) (00)   ;
;400;(00111100) (74) (60) (3C)    ;(01100110) (146) (102) (66)   ;(00000110) (6) (6) (06)   ;(00011100) (34) (28) (1C)   ;(00110000) (60) (48) (30)   ;(01100110) (146) (102) (66)   ;(01111110) (176) (126) (7E)   ;(00000000) (0) (0) (00)   ;
;408;(00111100) (74) (60) (3C)    ;(01100110) (146) (102) (66)   ;(00000110) (6) (6) (06)   ;(00011100) (34) (28) (1C)   ;(00000110) (6) (6) (06)   ;(01100110) (146) (102) (66)   ;(00111100) (74) (60) (3C)   ;(00000000) (0) (0) (00)   ;
;416;(00001110) (16) (14) (0E)    ;(00011110) (36) (30) (1E)   ;(00110110) (66) (54) (36)   ;(01100110) (146) (102) (66)   ;(01111111) (177) (127) (7F)   ;(00000110) (6) (6) (06)   ;(00001111) (17) (15) (0F)   ;(00000000) (0) (0) (00)   ;
;424;(01111110) (176) (126) (7E)    ;(01100000) (140) (96) (60)   ;(01111100) (174) (124) (7C)   ;(00000110) (6) (6) (06)   ;(00000110) (6) (6) (06)   ;(01100110) (146) (102) (66)   ;(00111100) (74) (60) (3C)   ;(00000000) (0) (0) (00)   ;
;432;(00011100) (34) (28) (1C)    ;(00110000) (60) (48) (30)   ;(01100000) (140) (96) (60)   ;(01111100) (174) (124) (7C)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(00111100) (74) (60) (3C)   ;(00000000) (0) (0) (00)   ;
;440;(01111110) (176) (126) (7E)    ;(01100110) (146) (102) (66)   ;(00000110) (6) (6) (06)   ;(00001100) (14) (12) (0C)   ;(00011000) (30) (24) (18)   ;(00011000) (30) (24) (18)   ;(00011000) (30) (24) (18)   ;(00000000) (0) (0) (00)   ;
;448;(00111100) (74) (60) (3C)    ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(00111100) (74) (60) (3C)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(00111100) (74) (60) (3C)   ;(00000000) (0) (0) (00)   ;
;456;(00111100) (74) (60) (3C)    ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(00111110) (76) (62) (3E)   ;(00000110) (6) (6) (06)   ;(00001100) (14) (12) (0C)   ;(00111000) (70) (56) (38)   ;(00000000) (0) (0) (00)   ;
;464;(00000000) (0) (0) (00)    ;(00011000) (30) (24) (18)   ;(00011000) (30) (24) (18)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00011000) (30) (24) (18)   ;(00011000) (30) (24) (18)   ;(00000000) (0) (0) (00)   ;
;472;(00000000) (0) (0) (00)    ;(00011000) (30) (24) (18)   ;(00011000) (30) (24) (18)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00011000) (30) (24) (18)   ;(00011000) (30) (24) (18)   ;(00110000) (60) (48) (30)   ;
;480;(00001100) (14) (12) (0C)    ;(00011000) (30) (24) (18)   ;(00110000) (60) (48) (30)   ;(01100000) (140) (96) (60)   ;(00110000) (60) (48) (30)   ;(00011000) (30) (24) (18)   ;(00001100) (14) (12) (0C)   ;(00000000) (0) (0) (00)   ;
;488;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(01111110) (176) (126) (7E)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(01111110) (176) (126) (7E)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;496;(00110000) (60) (48) (30)    ;(00011000) (30) (24) (18)   ;(00001100) (14) (12) (0C)   ;(00000110) (6) (6) (06)   ;(00001100) (14) (12) (0C)   ;(00011000) (30) (24) (18)   ;(00110000) (60) (48) (30)   ;(00000000) (0) (0) (00)   ;
;504;(00111100) (74) (60) (3C)    ;(01100110) (146) (102) (66)   ;(00000110) (6) (6) (06)   ;(00001100) (14) (12) (0C)   ;(00011000) (30) (24) (18)   ;(00000000) (0) (0) (00)   ;(00011000) (30) (24) (18)   ;(00000000) (0) (0) (00)   ;
;512;(00111110) (76) (62) (3E)    ;(01100011) (143) (99) (63)   ;(01101111) (157) (111) (6F)   ;(01101111) (157) (111) (6F)   ;(01101111) (157) (111) (6F)   ;(01100000) (140) (96) (60)   ;(00111100) (74) (60) (3C)   ;(00000000) (0) (0) (00)   ;
;520;(00011000) (30) (24) (18)    ;(00111100) (74) (60) (3C)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(01111110) (176) (126) (7E)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(00000000) (0) (0) (00)   ;
;528;(01111110) (176) (126) (7E)    ;(00110011) (63) (51) (33)   ;(00110011) (63) (51) (33)   ;(00111110) (76) (62) (3E)   ;(00110011) (63) (51) (33)   ;(00110011) (63) (51) (33)   ;(01111110) (176) (126) (7E)   ;(00000000) (0) (0) (00)   ;
;536;(00011110) (36) (30) (1E)    ;(00110011) (63) (51) (33)   ;(01100000) (140) (96) (60)   ;(01100000) (140) (96) (60)   ;(01100000) (140) (96) (60)   ;(00110011) (63) (51) (33)   ;(00011110) (36) (30) (1E)   ;(00000000) (0) (0) (00)   ;
;544;(01111100) (174) (124) (7C)    ;(00110110) (66) (54) (36)   ;(00110011) (63) (51) (33)   ;(00110011) (63) (51) (33)   ;(00110011) (63) (51) (33)   ;(00110110) (66) (54) (36)   ;(01111100) (174) (124) (7C)   ;(00000000) (0) (0) (00)   ;
;552;(01111111) (177) (127) (7F)    ;(00110001) (61) (49) (31)   ;(00110100) (64) (52) (34)   ;(00111100) (74) (60) (3C)   ;(00110100) (64) (52) (34)   ;(00110001) (61) (49) (31)   ;(01111111) (177) (127) (7F)   ;(00000000) (0) (0) (00)   ;
;560;(01111111) (177) (127) (7F)    ;(00110001) (61) (49) (31)   ;(00110100) (64) (52) (34)   ;(00111100) (74) (60) (3C)   ;(00110100) (64) (52) (34)   ;(00110000) (60) (48) (30)   ;(01111000) (170) (120) (78)   ;(00000000) (0) (0) (00)   ;
;568;(00011110) (36) (30) (1E)    ;(00110011) (63) (51) (33)   ;(01100000) (140) (96) (60)   ;(01100000) (140) (96) (60)   ;(01100111) (147) (103) (67)   ;(00110011) (63) (51) (33)   ;(00011111) (37) (31) (1F)   ;(00000000) (0) (0) (00)   ;
;576;(01100110) (146) (102) (66)    ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(01111110) (176) (126) (7E)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(00000000) (0) (0) (00)   ;
;584;(00111100) (74) (60) (3C)    ;(00011000) (30) (24) (18)   ;(00011000) (30) (24) (18)   ;(00011000) (30) (24) (18)   ;(00011000) (30) (24) (18)   ;(00011000) (30) (24) (18)   ;(00111100) (74) (60) (3C)   ;(00000000) (0) (0) (00)   ;
;592;(00001111) (17) (15) (0F)    ;(00000110) (6) (6) (06)   ;(00000110) (6) (6) (06)   ;(00000110) (6) (6) (06)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(00111100) (74) (60) (3C)   ;(00000000) (0) (0) (00)   ;
;600;(01110011) (163) (115) (73)    ;(00110011) (63) (51) (33)   ;(00110110) (66) (54) (36)   ;(00111100) (74) (60) (3C)   ;(00110110) (66) (54) (36)   ;(00110011) (63) (51) (33)   ;(01110011) (163) (115) (73)   ;(00000000) (0) (0) (00)   ;
;608;(01111000) (170) (120) (78)    ;(00110000) (60) (48) (30)   ;(00110000) (60) (48) (30)   ;(00110000) (60) (48) (30)   ;(00110001) (61) (49) (31)   ;(00110011) (63) (51) (33)   ;(01111111) (177) (127) (7F)   ;(00000000) (0) (0) (00)   ;
;616;(01100011) (143) (99) (63)    ;(01110111) (167) (119) (77)   ;(01111111) (177) (127) (7F)   ;(01111111) (177) (127) (7F)   ;(01101011) (153) (107) (6B)   ;(01100011) (143) (99) (63)   ;(01100011) (143) (99) (63)   ;(00000000) (0) (0) (00)   ;
;624;(01100011) (143) (99) (63)    ;(01110011) (163) (115) (73)   ;(01111011) (173) (123) (7B)   ;(01101111) (157) (111) (6F)   ;(01100111) (147) (103) (67)   ;(01100011) (143) (99) (63)   ;(01100011) (143) (99) (63)   ;(00000000) (0) (0) (00)   ;
;632;(00011100) (34) (28) (1C)    ;(00110110) (66) (54) (36)   ;(01100011) (143) (99) (63)   ;(01100011) (143) (99) (63)   ;(01100011) (143) (99) (63)   ;(00110110) (66) (54) (36)   ;(00011100) (34) (28) (1C)   ;(00000000) (0) (0) (00)   ;
;640;(01111110) (176) (126) (7E)    ;(00110011) (63) (51) (33)   ;(00110011) (63) (51) (33)   ;(00111110) (76) (62) (3E)   ;(00110000) (60) (48) (30)   ;(00110000) (60) (48) (30)   ;(01111000) (170) (120) (78)   ;(00000000) (0) (0) (00)   ;
;648;(00111100) (74) (60) (3C)    ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(01101110) (156) (110) (6E)   ;(00111100) (74) (60) (3C)   ;(00001110) (16) (14) (0E)   ;(00000000) (0) (0) (00)   ;
;656;(01111110) (176) (126) (7E)    ;(00110011) (63) (51) (33)   ;(00110011) (63) (51) (33)   ;(00111110) (76) (62) (3E)   ;(00110110) (66) (54) (36)   ;(00110011) (63) (51) (33)   ;(01110011) (163) (115) (73)   ;(00000000) (0) (0) (00)   ;
;664;(00111100) (74) (60) (3C)    ;(01100110) (146) (102) (66)   ;(01110000) (160) (112) (70)   ;(00111000) (70) (56) (38)   ;(00001110) (16) (14) (0E)   ;(01100110) (146) (102) (66)   ;(00111100) (74) (60) (3C)   ;(00000000) (0) (0) (00)   ;
;672;(01111110) (176) (126) (7E)    ;(01011010) (132) (90) (5A)   ;(00011000) (30) (24) (18)   ;(00011000) (30) (24) (18)   ;(00011000) (30) (24) (18)   ;(00011000) (30) (24) (18)   ;(00111100) (74) (60) (3C)   ;(00000000) (0) (0) (00)   ;
;680;(01100110) (146) (102) (66)    ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(00111100) (74) (60) (3C)   ;(00000000) (0) (0) (00)   ;
;688;(01100110) (146) (102) (66)    ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(00111100) (74) (60) (3C)   ;(00011000) (30) (24) (18)   ;(00000000) (0) (0) (00)   ;
;696;(01100011) (143) (99) (63)    ;(01100011) (143) (99) (63)   ;(01100011) (143) (99) (63)   ;(01101011) (153) (107) (6B)   ;(01111111) (177) (127) (7F)   ;(01110111) (167) (119) (77)   ;(01100011) (143) (99) (63)   ;(00000000) (0) (0) (00)   ;
;704;(01100011) (143) (99) (63)    ;(01100011) (143) (99) (63)   ;(00110110) (66) (54) (36)   ;(00011100) (34) (28) (1C)   ;(00110110) (66) (54) (36)   ;(01100011) (143) (99) (63)   ;(01100011) (143) (99) (63)   ;(00000000) (0) (0) (00)   ;
;712;(01100110) (146) (102) (66)    ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(00111100) (74) (60) (3C)   ;(00011000) (30) (24) (18)   ;(00011000) (30) (24) (18)   ;(00111100) (74) (60) (3C)   ;(00000000) (0) (0) (00)   ;
;720;(01111111) (177) (127) (7F)    ;(01100011) (143) (99) (63)   ;(00000110) (6) (6) (06)   ;(00001100) (14) (12) (0C)   ;(00011000) (30) (24) (18)   ;(00110011) (63) (51) (33)   ;(01111111) (177) (127) (7F)   ;(00000000) (0) (0) (00)   ;
;728;(00111100) (74) (60) (3C)    ;(00110000) (60) (48) (30)   ;(00110000) (60) (48) (30)   ;(00110000) (60) (48) (30)   ;(00110000) (60) (48) (30)   ;(00110000) (60) (48) (30)   ;(00111100) (74) (60) (3C)   ;(00000000) (0) (0) (00)   ;
;736;(00000000) (0) (0) (00)    ;(01100000) (140) (96) (60)   ;(00110000) (60) (48) (30)   ;(00011000) (30) (24) (18)   ;(00001100) (14) (12) (0C)   ;(00000110) (6) (6) (06)   ;(00000011) (3) (3) (03)   ;(00000000) (0) (0) (00)   ;
;744;(00111100) (74) (60) (3C)    ;(00001100) (14) (12) (0C)   ;(00001100) (14) (12) (0C)   ;(00001100) (14) (12) (0C)   ;(00001100) (14) (12) (0C)   ;(00001100) (14) (12) (0C)   ;(00111100) (74) (60) (3C)   ;(00000000) (0) (0) (00)   ;
;752;(00001100) (14) (12) (0C)    ;(00011110) (36) (30) (1E)   ;(00110011) (63) (51) (33)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;760;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(01111111) (177) (127) (7F)   ;
;768;(00011000) (30) (24) (18)    ;(00011000) (30) (24) (18)   ;(00001100) (14) (12) (0C)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;776;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00111100) (74) (60) (3C)   ;(00000110) (6) (6) (06)   ;(00111110) (76) (62) (3E)   ;(01100110) (146) (102) (66)   ;(00111011) (73) (59) (3B)   ;(00000000) (0) (0) (00)   ;
;784;(01110000) (160) (112) (70)    ;(00110000) (60) (48) (30)   ;(00110000) (60) (48) (30)   ;(00111110) (76) (62) (3E)   ;(00110011) (63) (51) (33)   ;(00110011) (63) (51) (33)   ;(01101110) (156) (110) (6E)   ;(00000000) (0) (0) (00)   ;
;792;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00111100) (74) (60) (3C)   ;(01100110) (146) (102) (66)   ;(01100000) (140) (96) (60)   ;(01100110) (146) (102) (66)   ;(00111100) (74) (60) (3C)   ;(00000000) (0) (0) (00)   ;
;800;(00001110) (16) (14) (0E)    ;(00000110) (6) (6) (06)   ;(00000110) (6) (6) (06)   ;(00111110) (76) (62) (3E)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(00111011) (73) (59) (3B)   ;(00000000) (0) (0) (00)   ;
;808;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00111100) (74) (60) (3C)   ;(01100110) (146) (102) (66)   ;(01111110) (176) (126) (7E)   ;(01100000) (140) (96) (60)   ;(00111100) (74) (60) (3C)   ;(00000000) (0) (0) (00)   ;
;816;(00011100) (34) (28) (1C)    ;(00110110) (66) (54) (36)   ;(00110000) (60) (48) (30)   ;(01111000) (170) (120) (78)   ;(00110000) (60) (48) (30)   ;(00110000) (60) (48) (30)   ;(01111000) (170) (120) (78)   ;(00000000) (0) (0) (00)   ;
;824;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00111011) (73) (59) (3B)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(00111110) (76) (62) (3E)   ;(00000110) (6) (6) (06)   ;(01111100) (174) (124) (7C)   ;
;832;(01110000) (160) (112) (70)    ;(00110000) (60) (48) (30)   ;(00110110) (66) (54) (36)   ;(00111011) (73) (59) (3B)   ;(00110011) (63) (51) (33)   ;(00110011) (63) (51) (33)   ;(01110011) (163) (115) (73)   ;(00000000) (0) (0) (00)   ;
;840;(00011000) (30) (24) (18)    ;(00000000) (0) (0) (00)   ;(00111000) (70) (56) (38)   ;(00011000) (30) (24) (18)   ;(00011000) (30) (24) (18)   ;(00011000) (30) (24) (18)   ;(00111100) (74) (60) (3C)   ;(00000000) (0) (0) (00)   ;
;848;(00000110) (6) (6) (06)    ;(00000000) (0) (0) (00)   ;(00000110) (6) (6) (06)   ;(00000110) (6) (6) (06)   ;(00000110) (6) (6) (06)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(00111100) (74) (60) (3C)   ;
;856;(01110000) (160) (112) (70)    ;(00110000) (60) (48) (30)   ;(00110011) (63) (51) (33)   ;(00110110) (66) (54) (36)   ;(00111100) (74) (60) (3C)   ;(00110110) (66) (54) (36)   ;(01110011) (163) (115) (73)   ;(00000000) (0) (0) (00)   ;
;864;(00111000) (70) (56) (38)    ;(00011000) (30) (24) (18)   ;(00011000) (30) (24) (18)   ;(00011000) (30) (24) (18)   ;(00011000) (30) (24) (18)   ;(00011000) (30) (24) (18)   ;(00111100) (74) (60) (3C)   ;(00000000) (0) (0) (00)   ;
;872;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(01100110) (146) (102) (66)   ;(01111111) (177) (127) (7F)   ;(01111111) (177) (127) (7F)   ;(01101011) (153) (107) (6B)   ;(01100011) (143) (99) (63)   ;(00000000) (0) (0) (00)   ;
;880;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(01111100) (174) (124) (7C)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(00000000) (0) (0) (00)   ;
;888;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00111100) (74) (60) (3C)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(00111100) (74) (60) (3C)   ;(00000000) (0) (0) (00)   ;
;896;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(01101110) (156) (110) (6E)   ;(00110011) (63) (51) (33)   ;(00110011) (63) (51) (33)   ;(00111110) (76) (62) (3E)   ;(00110000) (60) (48) (30)   ;(01111000) (170) (120) (78)   ;
;904;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00111011) (73) (59) (3B)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(00111110) (76) (62) (3E)   ;(00000110) (6) (6) (06)   ;(00001111) (17) (15) (0F)   ;
;912;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(01101110) (156) (110) (6E)   ;(00111011) (73) (59) (3B)   ;(00110011) (63) (51) (33)   ;(00110000) (60) (48) (30)   ;(01111000) (170) (120) (78)   ;(00000000) (0) (0) (00)   ;
;920;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00111110) (76) (62) (3E)   ;(01100000) (140) (96) (60)   ;(00111100) (74) (60) (3C)   ;(00000110) (6) (6) (06)   ;(01111100) (174) (124) (7C)   ;(00000000) (0) (0) (00)   ;
;928;(00001000) (10) (8) (08)    ;(00011000) (30) (24) (18)   ;(00111110) (76) (62) (3E)   ;(00011000) (30) (24) (18)   ;(00011000) (30) (24) (18)   ;(00011010) (32) (26) (1A)   ;(00001100) (14) (12) (0C)   ;(00000000) (0) (0) (00)   ;
;936;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(00111011) (73) (59) (3B)   ;(00000000) (0) (0) (00)   ;
;944;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(00111100) (74) (60) (3C)   ;(00011000) (30) (24) (18)   ;(00000000) (0) (0) (00)   ;
;952;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(01100011) (143) (99) (63)   ;(01101011) (153) (107) (6B)   ;(01111111) (177) (127) (7F)   ;(01111111) (177) (127) (7F)   ;(00110110) (66) (54) (36)   ;(00000000) (0) (0) (00)   ;
;960;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(01100011) (143) (99) (63)   ;(00110110) (66) (54) (36)   ;(00011100) (34) (28) (1C)   ;(00110110) (66) (54) (36)   ;(01100011) (143) (99) (63)   ;(00000000) (0) (0) (00)   ;
;968;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(00111110) (76) (62) (3E)   ;(00000110) (6) (6) (06)   ;(01111100) (174) (124) (7C)   ;
;976;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(01111110) (176) (126) (7E)   ;(01001100) (114) (76) (4C)   ;(00011000) (30) (24) (18)   ;(00110010) (62) (50) (32)   ;(01111110) (176) (126) (7E)   ;(00000000) (0) (0) (00)   ;
;984;(00001110) (16) (14) (0E)    ;(00011000) (30) (24) (18)   ;(00011000) (30) (24) (18)   ;(01110000) (160) (112) (70)   ;(00011000) (30) (24) (18)   ;(00011000) (30) (24) (18)   ;(00001110) (16) (14) (0E)   ;(00000000) (0) (0) (00)   ;
;992;(00011000) (30) (24) (18)    ;(00011000) (30) (24) (18)   ;(00011000) (30) (24) (18)   ;(00011000) (30) (24) (18)   ;(00011000) (30) (24) (18)   ;(00011000) (30) (24) (18)   ;(00011000) (30) (24) (18)   ;(00000000) (0) (0) (00)   ;
;1000;(01110000) (160) (112) (70)    ;(00011000) (30) (24) (18)   ;(00011000) (30) (24) (18)   ;(00001110) (16) (14) (0E)   ;(00011000) (30) (24) (18)   ;(00011000) (30) (24) (18)   ;(01110000) (160) (112) (70)   ;(00000000) (0) (0) (00)   ;
;1008;(00111011) (73) (59) (3B)    ;(01101110) (156) (110) (6E)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;1016;(01100110) (146) (102) (66)    ;(00000000) (0) (0) (00)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(00111100) (74) (60) (3C)   ;(00011000) (30) (24) (18)   ;(00111100) (74) (60) (3C)   ;(00000000) (0) (0) (00)   ;
;1024;(00000000) (0) (0) (00)    ;(01111111) (177) (127) (7F)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;1032;(00001100) (14) (12) (0C)    ;(00001100) (14) (12) (0C)   ;(00001100) (14) (12) (0C)   ;(00001100) (14) (12) (0C)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;1040;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00001111) (17) (15) (0F)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;1048;(00001100) (14) (12) (0C)    ;(00001100) (14) (12) (0C)   ;(00001100) (14) (12) (0C)   ;(00001111) (17) (15) (0F)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;1056;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00001100) (14) (12) (0C)   ;(00001100) (14) (12) (0C)   ;(00001100) (14) (12) (0C)   ;(00001100) (14) (12) (0C)   ;
;1064;(00001100) (14) (12) (0C)    ;(00001100) (14) (12) (0C)   ;(00001100) (14) (12) (0C)   ;(00001100) (14) (12) (0C)   ;(00001100) (14) (12) (0C)   ;(00001100) (14) (12) (0C)   ;(00001100) (14) (12) (0C)   ;(00001100) (14) (12) (0C)   ;
;1072;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00001111) (17) (15) (0F)   ;(00001100) (14) (12) (0C)   ;(00001100) (14) (12) (0C)   ;(00001100) (14) (12) (0C)   ;(00001100) (14) (12) (0C)   ;
;1080;(00001100) (14) (12) (0C)    ;(00001100) (14) (12) (0C)   ;(00001100) (14) (12) (0C)   ;(00001111) (17) (15) (0F)   ;(00001100) (14) (12) (0C)   ;(00001100) (14) (12) (0C)   ;(00001100) (14) (12) (0C)   ;(00001100) (14) (12) (0C)   ;
;1088;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(01111100) (174) (124) (7C)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;1096;(00001100) (14) (12) (0C)    ;(00001100) (14) (12) (0C)   ;(00001100) (14) (12) (0C)   ;(01111100) (174) (124) (7C)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;1104;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(01111111) (177) (127) (7F)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;1112;(00001100) (14) (12) (0C)    ;(00001100) (14) (12) (0C)   ;(00001100) (14) (12) (0C)   ;(01111111) (177) (127) (7F)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;1120;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(01111100) (174) (124) (7C)   ;(00001100) (14) (12) (0C)   ;(00001100) (14) (12) (0C)   ;(00001100) (14) (12) (0C)   ;(00001100) (14) (12) (0C)   ;
;1128;(00001100) (14) (12) (0C)    ;(00001100) (14) (12) (0C)   ;(00001100) (14) (12) (0C)   ;(01111100) (174) (124) (7C)   ;(00001100) (14) (12) (0C)   ;(00001100) (14) (12) (0C)   ;(00001100) (14) (12) (0C)   ;(00001100) (14) (12) (0C)   ;
;1136;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(01111111) (177) (127) (7F)   ;(00001100) (14) (12) (0C)   ;(00001100) (14) (12) (0C)   ;(00001100) (14) (12) (0C)   ;(00001100) (14) (12) (0C)   ;
;1144;(00001100) (14) (12) (0C)    ;(00001100) (14) (12) (0C)   ;(00001100) (14) (12) (0C)   ;(01111111) (177) (127) (7F)   ;(00001100) (14) (12) (0C)   ;(00001100) (14) (12) (0C)   ;(00001100) (14) (12) (0C)   ;(00001100) (14) (12) (0C)   ;
;1152;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(01111111) (177) (127) (7F)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;1160;(00110110) (66) (54) (36)    ;(00110110) (66) (54) (36)   ;(00110110) (66) (54) (36)   ;(00110110) (66) (54) (36)   ;(00111110) (76) (62) (3E)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;1168;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00111111) (77) (63) (3F)   ;(00110000) (60) (48) (30)   ;(00111111) (77) (63) (3F)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;1176;(00110110) (66) (54) (36)    ;(00110110) (66) (54) (36)   ;(00110111) (67) (55) (37)   ;(00110000) (60) (48) (30)   ;(00111111) (77) (63) (3F)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;1184;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00111110) (76) (62) (3E)   ;(00110110) (66) (54) (36)   ;(00110110) (66) (54) (36)   ;(00110110) (66) (54) (36)   ;(00110110) (66) (54) (36)   ;(00110110) (66) (54) (36)   ;
;1192;(00110110) (66) (54) (36)    ;(00110110) (66) (54) (36)   ;(00110110) (66) (54) (36)   ;(00110110) (66) (54) (36)   ;(00110110) (66) (54) (36)   ;(00110110) (66) (54) (36)   ;(00110110) (66) (54) (36)   ;(00110110) (66) (54) (36)   ;
;1200;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00111111) (77) (63) (3F)   ;(00110000) (60) (48) (30)   ;(00110111) (67) (55) (37)   ;(00110110) (66) (54) (36)   ;(00110110) (66) (54) (36)   ;(00110110) (66) (54) (36)   ;
;1208;(00110110) (66) (54) (36)    ;(00110110) (66) (54) (36)   ;(00110111) (67) (55) (37)   ;(00110000) (60) (48) (30)   ;(00110111) (67) (55) (37)   ;(00110110) (66) (54) (36)   ;(00110110) (66) (54) (36)   ;(00110110) (66) (54) (36)   ;
;1216;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(01111110) (176) (126) (7E)   ;(00000110) (6) (6) (06)   ;(01111110) (176) (126) (7E)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;1224;(00110110) (66) (54) (36)    ;(00110110) (66) (54) (36)   ;(01110110) (166) (118) (76)   ;(00000110) (6) (6) (06)   ;(01111110) (176) (126) (7E)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;1232;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(01111111) (177) (127) (7F)   ;(00000000) (0) (0) (00)   ;(01111111) (177) (127) (7F)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;1240;(00110110) (66) (54) (36)    ;(00110110) (66) (54) (36)   ;(01110111) (167) (119) (77)   ;(00000000) (0) (0) (00)   ;(01111111) (177) (127) (7F)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;1248;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(01111110) (176) (126) (7E)   ;(00000110) (6) (6) (06)   ;(01110110) (166) (118) (76)   ;(00110110) (66) (54) (36)   ;(00110110) (66) (54) (36)   ;(00110110) (66) (54) (36)   ;
;1256;(00110110) (66) (54) (36)    ;(00110110) (66) (54) (36)   ;(01110110) (166) (118) (76)   ;(00000110) (6) (6) (06)   ;(01110110) (166) (118) (76)   ;(00110110) (66) (54) (36)   ;(00110110) (66) (54) (36)   ;(00110110) (66) (54) (36)   ;
;1264;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(01111111) (177) (127) (7F)   ;(00000000) (0) (0) (00)   ;(01110111) (167) (119) (77)   ;(00110110) (66) (54) (36)   ;(00110110) (66) (54) (36)   ;(00110110) (66) (54) (36)   ;
;1272;(00110110) (66) (54) (36)    ;(00110110) (66) (54) (36)   ;(01110111) (167) (119) (77)   ;(00000000) (0) (0) (00)   ;(01110111) (167) (119) (77)   ;(00110110) (66) (54) (36)   ;(00110110) (66) (54) (36)   ;(00110110) (66) (54) (36)   ;
;1280;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(01100011) (143) (99) (63)   ;(01111111) (177) (127) (7F)   ;
;1288;(00000000) (0) (0) (00)    ;(00011000) (30) (24) (18)   ;(00000000) (0) (0) (00)   ;(00011000) (30) (24) (18)   ;(00011000) (30) (24) (18)   ;(00111100) (74) (60) (3C)   ;(00111100) (74) (60) (3C)   ;(00011000) (30) (24) (18)   ;
;1296;(00011000) (30) (24) (18)    ;(00111100) (74) (60) (3C)   ;(01100110) (146) (102) (66)   ;(01100000) (140) (96) (60)   ;(01100110) (146) (102) (66)   ;(00111100) (74) (60) (3C)   ;(00011000) (30) (24) (18)   ;(00000000) (0) (0) (00)   ;
;1304;(00011100) (34) (28) (1C)    ;(00110110) (66) (54) (36)   ;(00110010) (62) (50) (32)   ;(01111000) (170) (120) (78)   ;(00110000) (60) (48) (30)   ;(01110011) (163) (115) (73)   ;(01111110) (176) (126) (7E)   ;(00000000) (0) (0) (00)   ;
;1312;(00011100) (34) (28) (1C)    ;(00110010) (62) (50) (32)   ;(01111000) (170) (120) (78)   ;(00110000) (60) (48) (30)   ;(01111000) (170) (120) (78)   ;(00110010) (62) (50) (32)   ;(00011100) (34) (28) (1C)   ;(00000000) (0) (0) (00)   ;
;1320;(01100110) (146) (102) (66)    ;(01100110) (146) (102) (66)   ;(00111100) (74) (60) (3C)   ;(01111110) (176) (126) (7E)   ;(00011000) (30) (24) (18)   ;(01111110) (176) (126) (7E)   ;(00011000) (30) (24) (18)   ;(00011000) (30) (24) (18)   ;
;1328;(00100100) (44) (36) (24)    ;(00111100) (74) (60) (3C)   ;(01000010) (102) (66) (42)   ;(00110000) (60) (48) (30)   ;(00001100) (14) (12) (0C)   ;(01000010) (102) (66) (42)   ;(00111100) (74) (60) (3C)   ;(00000000) (0) (0) (00)   ;
;1336;(00011111) (37) (31) (1F)    ;(00110000) (60) (48) (30)   ;(00011110) (36) (30) (1E)   ;(00110011) (63) (51) (33)   ;(00110011) (63) (51) (33)   ;(00011110) (36) (30) (1E)   ;(01000011) (103) (67) (43)   ;(00111110) (76) (62) (3E)   ;
;1344;(00111100) (74) (60) (3C)    ;(00000000) (0) (0) (00)   ;(00111110) (76) (62) (3E)   ;(01100000) (140) (96) (60)   ;(00111100) (74) (60) (3C)   ;(00000110) (6) (6) (06)   ;(01111100) (174) (124) (7C)   ;(00000000) (0) (0) (00)   ;
;1352;(00111110) (76) (62) (3E)    ;(01000001) (101) (65) (41)   ;(01001101) (115) (77) (4D)   ;(01010001) (121) (81) (51)   ;(01010001) (121) (81) (51)   ;(01001101) (115) (77) (4D)   ;(01000001) (101) (65) (41)   ;(00111110) (76) (62) (3E)   ;
;1360;(00011110) (36) (30) (1E)    ;(00110110) (66) (54) (36)   ;(00011111) (37) (31) (1F)   ;(00000000) (0) (0) (00)   ;(00111111) (77) (63) (3F)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;1368;(00000000) (0) (0) (00)    ;(00011001) (31) (25) (19)   ;(00110011) (63) (51) (33)   ;(01100110) (146) (102) (66)   ;(00110011) (63) (51) (33)   ;(00011001) (31) (25) (19)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;1376;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(01111110) (176) (126) (7E)   ;(00000110) (6) (6) (06)   ;(00000110) (6) (6) (06)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;1384;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00111110) (76) (62) (3E)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;1392;(00111110) (76) (62) (3E)    ;(01000001) (101) (65) (41)   ;(01011001) (131) (89) (59)   ;(01010101) (125) (85) (55)   ;(01011001) (131) (89) (59)   ;(01010101) (125) (85) (55)   ;(01000001) (101) (65) (41)   ;(00111110) (76) (62) (3E)   ;
;1400;(01111111) (177) (127) (7F)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;1408;(00111000) (70) (56) (38)    ;(01101100) (154) (108) (6C)   ;(00111000) (70) (56) (38)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;1416;(00011000) (30) (24) (18)    ;(00011000) (30) (24) (18)   ;(01111110) (176) (126) (7E)   ;(00011000) (30) (24) (18)   ;(00011000) (30) (24) (18)   ;(00000000) (0) (0) (00)   ;(01111110) (176) (126) (7E)   ;(00000000) (0) (0) (00)   ;
;1424;(00111000) (70) (56) (38)    ;(01101100) (154) (108) (6C)   ;(00011000) (30) (24) (18)   ;(00110000) (60) (48) (30)   ;(01111100) (174) (124) (7C)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;1432;(00111000) (70) (56) (38)    ;(01101100) (154) (108) (6C)   ;(00011000) (30) (24) (18)   ;(01101100) (154) (108) (6C)   ;(00111000) (70) (56) (38)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;1440;(00110110) (66) (54) (36)    ;(01111111) (177) (127) (7F)   ;(01100110) (146) (102) (66)   ;(00001100) (14) (12) (0C)   ;(00011000) (30) (24) (18)   ;(00110011) (63) (51) (33)   ;(01111111) (177) (127) (7F)   ;(00000000) (0) (0) (00)   ;
;1448;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(01111011) (173) (123) (7B)   ;(01100000) (140) (96) (60)   ;
;1456;(00111111) (77) (63) (3F)    ;(01101101) (155) (109) (6D)   ;(00111101) (75) (61) (3D)   ;(00011101) (35) (29) (1D)   ;(00001101) (15) (13) (0D)   ;(00001101) (15) (13) (0D)   ;(00001101) (15) (13) (0D)   ;(00000000) (0) (0) (00)   ;
;1464;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00001100) (14) (12) (0C)   ;(00001100) (14) (12) (0C)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;1472;(00111100) (74) (60) (3C)    ;(00000000) (0) (0) (00)   ;(01111110) (176) (126) (7E)   ;(01001100) (114) (76) (4C)   ;(00011000) (30) (24) (18)   ;(00110010) (62) (50) (32)   ;(01111110) (176) (126) (7E)   ;(00000000) (0) (0) (00)   ;
;1480;(00110000) (60) (48) (30)    ;(01110000) (160) (112) (70)   ;(00110000) (60) (48) (30)   ;(00110000) (60) (48) (30)   ;(01111000) (170) (120) (78)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;1488;(00011100) (34) (28) (1C)    ;(00110110) (66) (54) (36)   ;(00011100) (34) (28) (1C)   ;(00000000) (0) (0) (00)   ;(00111110) (76) (62) (3E)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;1496;(00000000) (0) (0) (00)    ;(01100110) (146) (102) (66)   ;(00110011) (63) (51) (33)   ;(00011001) (31) (25) (19)   ;(00110011) (63) (51) (33)   ;(01100110) (146) (102) (66)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;1504;(00111111) (77) (63) (3F)    ;(01101100) (154) (108) (6C)   ;(01101100) (154) (108) (6C)   ;(01101110) (156) (110) (6E)   ;(01101100) (154) (108) (6C)   ;(01101100) (154) (108) (6C)   ;(00111111) (77) (63) (3F)   ;(00000000) (0) (0) (00)   ;
;1512;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00111111) (77) (63) (3F)   ;(01101101) (155) (109) (6D)   ;(01101111) (157) (111) (6F)   ;(01101100) (154) (108) (6C)   ;(00111111) (77) (63) (3F)   ;(00000000) (0) (0) (00)   ;
;1520;(01100110) (146) (102) (66)    ;(00000000) (0) (0) (00)   ;(01100110) (146) (102) (66)   ;(00111100) (74) (60) (3C)   ;(00011000) (30) (24) (18)   ;(00011000) (30) (24) (18)   ;(00111100) (74) (60) (3C)   ;(00000000) (0) (0) (00)   ;
;1528;(00000000) (0) (0) (00)    ;(00001100) (14) (12) (0C)   ;(00000000) (0) (0) (00)   ;(00001100) (14) (12) (0C)   ;(00011000) (30) (24) (18)   ;(00110000) (60) (48) (30)   ;(00110011) (63) (51) (33)   ;(00011110) (36) (30) (1E)   ;
;1536;(00110000) (60) (48) (30)    ;(00011000) (30) (24) (18)   ;(00111100) (74) (60) (3C)   ;(01100110) (146) (102) (66)   ;(01111110) (176) (126) (7E)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(00000000) (0) (0) (00)   ;
;1544;(00001100) (14) (12) (0C)    ;(00011000) (30) (24) (18)   ;(00111100) (74) (60) (3C)   ;(01100110) (146) (102) (66)   ;(01111110) (176) (126) (7E)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(00000000) (0) (0) (00)   ;
;1552;(00111100) (74) (60) (3C)    ;(01000010) (102) (66) (42)   ;(00111100) (74) (60) (3C)   ;(01100110) (146) (102) (66)   ;(01111110) (176) (126) (7E)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(00000000) (0) (0) (00)   ;
;1560;(00111011) (73) (59) (3B)    ;(01101110) (156) (110) (6E)   ;(00111100) (74) (60) (3C)   ;(01100110) (146) (102) (66)   ;(01111110) (176) (126) (7E)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(00000000) (0) (0) (00)   ;
;1568;(01100110) (146) (102) (66)    ;(00000000) (0) (0) (00)   ;(00111100) (74) (60) (3C)   ;(01100110) (146) (102) (66)   ;(01111110) (176) (126) (7E)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(00000000) (0) (0) (00)   ;
;1576;(00011000) (30) (24) (18)    ;(00100100) (44) (36) (24)   ;(00111100) (74) (60) (3C)   ;(01100110) (146) (102) (66)   ;(01111110) (176) (126) (7E)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(00000000) (0) (0) (00)   ;
;1584;(00011111) (37) (31) (1F)    ;(00111100) (74) (60) (3C)   ;(01101100) (154) (108) (6C)   ;(01111110) (176) (126) (7E)   ;(01101100) (154) (108) (6C)   ;(01101100) (154) (108) (6C)   ;(01101111) (157) (111) (6F)   ;(00000000) (0) (0) (00)   ;
;1592;(00011110) (36) (30) (1E)    ;(00110011) (63) (51) (33)   ;(01100000) (140) (96) (60)   ;(01100000) (140) (96) (60)   ;(00110011) (63) (51) (33)   ;(00011110) (36) (30) (1E)   ;(00000110) (6) (6) (06)   ;(00111100) (74) (60) (3C)   ;
;1600;(00110000) (60) (48) (30)    ;(00011000) (30) (24) (18)   ;(01111111) (177) (127) (7F)   ;(00110001) (61) (49) (31)   ;(00111100) (74) (60) (3C)   ;(00110001) (61) (49) (31)   ;(01111111) (177) (127) (7F)   ;(00000000) (0) (0) (00)   ;
;1608;(00000110) (6) (6) (06)    ;(00001100) (14) (12) (0C)   ;(01111111) (177) (127) (7F)   ;(00110001) (61) (49) (31)   ;(00111100) (74) (60) (3C)   ;(00110001) (61) (49) (31)   ;(01111111) (177) (127) (7F)   ;(00000000) (0) (0) (00)   ;
;1616;(00011100) (34) (28) (1C)    ;(00110110) (66) (54) (36)   ;(01111111) (177) (127) (7F)   ;(00110001) (61) (49) (31)   ;(00111100) (74) (60) (3C)   ;(00110001) (61) (49) (31)   ;(01111111) (177) (127) (7F)   ;(00000000) (0) (0) (00)   ;
;1624;(00110110) (66) (54) (36)    ;(00000000) (0) (0) (00)   ;(01111111) (177) (127) (7F)   ;(00110001) (61) (49) (31)   ;(00111100) (74) (60) (3C)   ;(00110001) (61) (49) (31)   ;(01111111) (177) (127) (7F)   ;(00000000) (0) (0) (00)   ;
;1632;(00110000) (60) (48) (30)    ;(00011000) (30) (24) (18)   ;(00111100) (74) (60) (3C)   ;(00011000) (30) (24) (18)   ;(00011000) (30) (24) (18)   ;(00011000) (30) (24) (18)   ;(00111100) (74) (60) (3C)   ;(00000000) (0) (0) (00)   ;
;1640;(00001100) (14) (12) (0C)    ;(00011000) (30) (24) (18)   ;(00111100) (74) (60) (3C)   ;(00011000) (30) (24) (18)   ;(00011000) (30) (24) (18)   ;(00011000) (30) (24) (18)   ;(00111100) (74) (60) (3C)   ;(00000000) (0) (0) (00)   ;
;1648;(00111100) (74) (60) (3C)    ;(01100110) (146) (102) (66)   ;(00111100) (74) (60) (3C)   ;(00011000) (30) (24) (18)   ;(00011000) (30) (24) (18)   ;(00011000) (30) (24) (18)   ;(00111100) (74) (60) (3C)   ;(00000000) (0) (0) (00)   ;
;1656;(01100110) (146) (102) (66)    ;(00000000) (0) (0) (00)   ;(00111100) (74) (60) (3C)   ;(00011000) (30) (24) (18)   ;(00011000) (30) (24) (18)   ;(00011000) (30) (24) (18)   ;(00111100) (74) (60) (3C)   ;(00000000) (0) (0) (00)   ;
;1664;(01111100) (174) (124) (7C)    ;(00110110) (66) (54) (36)   ;(00110011) (63) (51) (33)   ;(01111011) (173) (123) (7B)   ;(00110011) (63) (51) (33)   ;(00110110) (66) (54) (36)   ;(01111100) (174) (124) (7C)   ;(00000000) (0) (0) (00)   ;
;1672;(00111011) (73) (59) (3B)    ;(01101110) (156) (110) (6E)   ;(01110011) (163) (115) (73)   ;(01111011) (173) (123) (7B)   ;(01101111) (157) (111) (6F)   ;(01100111) (147) (103) (67)   ;(01100011) (143) (99) (63)   ;(00000000) (0) (0) (00)   ;
;1680;(00110000) (60) (48) (30)    ;(00011000) (30) (24) (18)   ;(00111100) (74) (60) (3C)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(00111100) (74) (60) (3C)   ;(00000000) (0) (0) (00)   ;
;1688;(00001100) (14) (12) (0C)    ;(00011000) (30) (24) (18)   ;(00111100) (74) (60) (3C)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(00111100) (74) (60) (3C)   ;(00000000) (0) (0) (00)   ;
;1696;(00111100) (74) (60) (3C)    ;(01100110) (146) (102) (66)   ;(00111100) (74) (60) (3C)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(00111100) (74) (60) (3C)   ;(00000000) (0) (0) (00)   ;
;1704;(00111011) (73) (59) (3B)    ;(01101110) (156) (110) (6E)   ;(00111100) (74) (60) (3C)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(00111100) (74) (60) (3C)   ;(00000000) (0) (0) (00)   ;
;1712;(01100110) (146) (102) (66)    ;(00000000) (0) (0) (00)   ;(00111100) (74) (60) (3C)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(00111100) (74) (60) (3C)   ;(00000000) (0) (0) (00)   ;
;1720;(00000000) (0) (0) (00)    ;(00110110) (66) (54) (36)   ;(00011100) (34) (28) (1C)   ;(00011100) (34) (28) (1C)   ;(00110110) (66) (54) (36)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;1728;(00011111) (37) (31) (1F)    ;(00110110) (66) (54) (36)   ;(01101111) (157) (111) (6F)   ;(01101011) (153) (107) (6B)   ;(01111011) (173) (123) (7B)   ;(00110110) (66) (54) (36)   ;(01111100) (174) (124) (7C)   ;(00000000) (0) (0) (00)   ;
;1736;(00110000) (60) (48) (30)    ;(00011000) (30) (24) (18)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(00111100) (74) (60) (3C)   ;(00000000) (0) (0) (00)   ;
;1744;(00001100) (14) (12) (0C)    ;(00011000) (30) (24) (18)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(00111100) (74) (60) (3C)   ;(00000000) (0) (0) (00)   ;
;1752;(00111100) (74) (60) (3C)    ;(01100110) (146) (102) (66)   ;(00000000) (0) (0) (00)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(00111100) (74) (60) (3C)   ;(00000000) (0) (0) (00)   ;
;1760;(01100110) (146) (102) (66)    ;(00000000) (0) (0) (00)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(00111100) (74) (60) (3C)   ;(00000000) (0) (0) (00)   ;
;1768;(00001100) (14) (12) (0C)    ;(00011000) (30) (24) (18)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(00111100) (74) (60) (3C)   ;(00011000) (30) (24) (18)   ;(00111100) (74) (60) (3C)   ;(00000000) (0) (0) (00)   ;
;1776;(01111000) (170) (120) (78)    ;(00110000) (60) (48) (30)   ;(00111110) (76) (62) (3E)   ;(00110011) (63) (51) (33)   ;(00111110) (76) (62) (3E)   ;(00110000) (60) (48) (30)   ;(01111000) (170) (120) (78)   ;(00000000) (0) (0) (00)   ;
;1784;(00111110) (76) (62) (3E)    ;(01100011) (143) (99) (63)   ;(01100011) (143) (99) (63)   ;(01100110) (146) (102) (66)   ;(01100011) (143) (99) (63)   ;(01101011) (153) (107) (6B)   ;(01101110) (156) (110) (6E)   ;(01000000) (100) (64) (40)   ;
;1792;(00110000) (60) (48) (30)    ;(00011000) (30) (24) (18)   ;(00111100) (74) (60) (3C)   ;(00000110) (6) (6) (06)   ;(00111110) (76) (62) (3E)   ;(01100110) (146) (102) (66)   ;(00111011) (73) (59) (3B)   ;(00000000) (0) (0) (00)   ;
;1800;(00001100) (14) (12) (0C)    ;(00011000) (30) (24) (18)   ;(00111100) (74) (60) (3C)   ;(00000110) (6) (6) (06)   ;(00111110) (76) (62) (3E)   ;(01100110) (146) (102) (66)   ;(00111011) (73) (59) (3B)   ;(00000000) (0) (0) (00)   ;
;1808;(00111100) (74) (60) (3C)    ;(01000010) (102) (66) (42)   ;(00111100) (74) (60) (3C)   ;(00000110) (6) (6) (06)   ;(00111110) (76) (62) (3E)   ;(01100110) (146) (102) (66)   ;(00111011) (73) (59) (3B)   ;(00000000) (0) (0) (00)   ;
;1816;(00111011) (73) (59) (3B)    ;(01101110) (156) (110) (6E)   ;(00111100) (74) (60) (3C)   ;(00000110) (6) (6) (06)   ;(00111110) (76) (62) (3E)   ;(01100110) (146) (102) (66)   ;(00111011) (73) (59) (3B)   ;(00000000) (0) (0) (00)   ;
;1824;(00110110) (66) (54) (36)    ;(00000000) (0) (0) (00)   ;(00111100) (74) (60) (3C)   ;(00000110) (6) (6) (06)   ;(00111110) (76) (62) (3E)   ;(01100110) (146) (102) (66)   ;(00111011) (73) (59) (3B)   ;(00000000) (0) (0) (00)   ;
;1832;(00011100) (34) (28) (1C)    ;(00110110) (66) (54) (36)   ;(00111100) (74) (60) (3C)   ;(00000110) (6) (6) (06)   ;(00111110) (76) (62) (3E)   ;(01100110) (146) (102) (66)   ;(00111011) (73) (59) (3B)   ;(00000000) (0) (0) (00)   ;
;1840;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00111111) (77) (63) (3F)   ;(00001101) (15) (13) (0D)   ;(00111111) (77) (63) (3F)   ;(01101100) (154) (108) (6C)   ;(00111111) (77) (63) (3F)   ;(00000000) (0) (0) (00)   ;
;1848;(00000000) (0) (0) (00)    ;(00111100) (74) (60) (3C)   ;(01100110) (146) (102) (66)   ;(01100000) (140) (96) (60)   ;(01100110) (146) (102) (66)   ;(00111100) (74) (60) (3C)   ;(00001100) (14) (12) (0C)   ;(00111000) (70) (56) (38)   ;
;1856;(00110000) (60) (48) (30)    ;(00011000) (30) (24) (18)   ;(00111100) (74) (60) (3C)   ;(01100110) (146) (102) (66)   ;(01111110) (176) (126) (7E)   ;(01100000) (140) (96) (60)   ;(00111100) (74) (60) (3C)   ;(00000000) (0) (0) (00)   ;
;1864;(00000110) (6) (6) (06)    ;(00001100) (14) (12) (0C)   ;(00111100) (74) (60) (3C)   ;(01100110) (146) (102) (66)   ;(01111110) (176) (126) (7E)   ;(01100000) (140) (96) (60)   ;(00111100) (74) (60) (3C)   ;(00000000) (0) (0) (00)   ;
;1872;(00111100) (74) (60) (3C)    ;(01000010) (102) (66) (42)   ;(00111100) (74) (60) (3C)   ;(01100110) (146) (102) (66)   ;(01111110) (176) (126) (7E)   ;(01100000) (140) (96) (60)   ;(00111100) (74) (60) (3C)   ;(00000000) (0) (0) (00)   ;
;1880;(01100110) (146) (102) (66)    ;(00000000) (0) (0) (00)   ;(00111100) (74) (60) (3C)   ;(01100110) (146) (102) (66)   ;(01111110) (176) (126) (7E)   ;(01100000) (140) (96) (60)   ;(00111100) (74) (60) (3C)   ;(00000000) (0) (0) (00)   ;
;1888;(00110000) (60) (48) (30)    ;(00011000) (30) (24) (18)   ;(00000000) (0) (0) (00)   ;(00111000) (70) (56) (38)   ;(00011000) (30) (24) (18)   ;(00011000) (30) (24) (18)   ;(00111100) (74) (60) (3C)   ;(00000000) (0) (0) (00)   ;
;1896;(00001100) (14) (12) (0C)    ;(00011000) (30) (24) (18)   ;(00000000) (0) (0) (00)   ;(00111000) (70) (56) (38)   ;(00011000) (30) (24) (18)   ;(00011000) (30) (24) (18)   ;(00111100) (74) (60) (3C)   ;(00000000) (0) (0) (00)   ;
;1904;(00111000) (70) (56) (38)    ;(01101100) (154) (108) (6C)   ;(00000000) (0) (0) (00)   ;(00111000) (70) (56) (38)   ;(00011000) (30) (24) (18)   ;(00011000) (30) (24) (18)   ;(00111100) (74) (60) (3C)   ;(00000000) (0) (0) (00)   ;
;1912;(00000000) (0) (0) (00)    ;(01101100) (154) (108) (6C)   ;(00000000) (0) (0) (00)   ;(00111000) (70) (56) (38)   ;(00011000) (30) (24) (18)   ;(00011000) (30) (24) (18)   ;(00111100) (74) (60) (3C)   ;(00000000) (0) (0) (00)   ;
;1920;(00111100) (74) (60) (3C)    ;(00111000) (70) (56) (38)   ;(00001100) (14) (12) (0C)   ;(00111110) (76) (62) (3E)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(00111100) (74) (60) (3C)   ;(00000000) (0) (0) (00)   ;
;1928;(00111011) (73) (59) (3B)    ;(01101110) (156) (110) (6E)   ;(01111100) (174) (124) (7C)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(00000000) (0) (0) (00)   ;
;1936;(00110000) (60) (48) (30)    ;(00011000) (30) (24) (18)   ;(00000000) (0) (0) (00)   ;(00111100) (74) (60) (3C)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(00111100) (74) (60) (3C)   ;(00000000) (0) (0) (00)   ;
;1944;(00001100) (14) (12) (0C)    ;(00011000) (30) (24) (18)   ;(00000000) (0) (0) (00)   ;(00111100) (74) (60) (3C)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(00111100) (74) (60) (3C)   ;(00000000) (0) (0) (00)   ;
;1952;(00111100) (74) (60) (3C)    ;(01100110) (146) (102) (66)   ;(00000000) (0) (0) (00)   ;(00111100) (74) (60) (3C)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(00111100) (74) (60) (3C)   ;(00000000) (0) (0) (00)   ;
;1960;(00111011) (73) (59) (3B)    ;(01101110) (156) (110) (6E)   ;(00000000) (0) (0) (00)   ;(00111100) (74) (60) (3C)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(00111100) (74) (60) (3C)   ;(00000000) (0) (0) (00)   ;
;1968;(00000000) (0) (0) (00)    ;(01100110) (146) (102) (66)   ;(00000000) (0) (0) (00)   ;(00111100) (74) (60) (3C)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(00111100) (74) (60) (3C)   ;(00000000) (0) (0) (00)   ;
;1976;(00000000) (0) (0) (00)    ;(00011000) (30) (24) (18)   ;(00000000) (0) (0) (00)   ;(01111110) (176) (126) (7E)   ;(00000000) (0) (0) (00)   ;(00011000) (30) (24) (18)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;1984;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00111110) (76) (62) (3E)   ;(01101110) (156) (110) (6E)   ;(01111110) (176) (126) (7E)   ;(01110110) (166) (118) (76)   ;(01111100) (174) (124) (7C)   ;(00000000) (0) (0) (00)   ;
;1992;(00110000) (60) (48) (30)    ;(00011000) (30) (24) (18)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(00111011) (73) (59) (3B)   ;(00000000) (0) (0) (00)   ;
;2000;(00001100) (14) (12) (0C)    ;(00011000) (30) (24) (18)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(00111011) (73) (59) (3B)   ;(00000000) (0) (0) (00)   ;
;2008;(00111100) (74) (60) (3C)    ;(01100110) (146) (102) (66)   ;(00000000) (0) (0) (00)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(00111011) (73) (59) (3B)   ;(00000000) (0) (0) (00)   ;
;2016;(01100110) (146) (102) (66)    ;(00000000) (0) (0) (00)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(00111011) (73) (59) (3B)   ;(00000000) (0) (0) (00)   ;
;2024;(00001100) (14) (12) (0C)    ;(00011000) (30) (24) (18)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(00111110) (76) (62) (3E)   ;(00000110) (6) (6) (06)   ;(01111100) (174) (124) (7C)   ;
;2032;(01111000) (170) (120) (78)    ;(00110000) (60) (48) (30)   ;(00111100) (74) (60) (3C)   ;(00110110) (66) (54) (36)   ;(00110110) (66) (54) (36)   ;(00111100) (74) (60) (3C)   ;(00110000) (60) (48) (30)   ;(01111000) (170) (120) (78)   ;
;2040;(01100110) (146) (102) (66)    ;(00000000) (0) (0) (00)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(00111110) (76) (62) (3E)   ;(00000110) (6) (6) (06)   ;(01111100) (174) (124) (7C)   ;


RAM content values are presented in the following format: (Binary) (Octal) (Decimal) (Hexadecimal) 
+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; |Z80SOC|rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ALTSYNCRAM                                                                                                                                                                   ;
+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
;   Addr   ;              +0              ;              +1              ;              +2              ;              +3              ;              +4              ;              +5              ;              +6              ;              +7              ;
+----------+------------------------------+------------------------------+------------------------------+------------------------------+------------------------------+------------------------------+------------------------------+------------------------------+
;0;(11101101) (355) (237) (ED)    ;(01111011) (173) (123) (7B)   ;(11010010) (322) (210) (D2)   ;(01010111) (127) (87) (57)   ;(11001101) (315) (205) (CD)   ;(01000110) (106) (70) (46)   ;(00100000) (40) (32) (20)   ;(11001101) (315) (205) (CD)   ;
;8;(11110100) (364) (244) (F4)    ;(00000101) (5) (5) (05)   ;(11000011) (303) (195) (C3)   ;(01011100) (134) (92) (5C)   ;(00010011) (23) (19) (13)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;16;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;24;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;32;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;40;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;48;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;56;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;64;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;72;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;80;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;88;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;96;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;104;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;112;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;120;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;128;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;136;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;144;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;152;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;160;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;168;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;176;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;184;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;192;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;200;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;208;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;216;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;224;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;232;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;240;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;248;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;256;(10101111) (257) (175) (AF)    ;(11111101) (375) (253) (FD)   ;(00100001) (41) (33) (21)   ;(00000010) (2) (2) (02)   ;(00000000) (0) (0) (00)   ;(11111101) (375) (253) (FD)   ;(00111001) (71) (57) (39)   ;(11111101) (375) (253) (FD)   ;
;264;(10111110) (276) (190) (BE)    ;(00000000) (0) (0) (00)   ;(11111101) (375) (253) (FD)   ;(10011110) (236) (158) (9E)   ;(00000001) (1) (1) (01)   ;(11100010) (342) (226) (E2)   ;(00010010) (22) (18) (12)   ;(00000001) (1) (1) (01)   ;
;272;(11101110) (356) (238) (EE)    ;(10000000) (200) (128) (80)   ;(11110000) (360) (240) (F0)   ;(11010001) (321) (209) (D1)   ;(11000001) (301) (193) (C1)   ;(11000101) (305) (197) (C5)   ;(11010101) (325) (213) (D5)   ;(10101111) (257) (175) (AF)   ;
;280;(10111001) (271) (185) (B9)    ;(10011000) (230) (152) (98)   ;(11100010) (342) (226) (E2)   ;(00011111) (37) (31) (1F)   ;(00000001) (1) (1) (01)   ;(11101110) (356) (238) (EE)   ;(10000000) (200) (128) (80)   ;(11110000) (360) (240) (F0)   ;
;288;(11000101) (305) (197) (C5)    ;(00100001) (41) (33) (21)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(11100101) (345) (229) (E5)   ;(11001101) (315) (205) (CD)   ;(10000011) (203) (131) (83)   ;(00010011) (23) (19) (13)   ;
;296;(11110001) (361) (241) (F1)    ;(11000001) (301) (193) (C1)   ;(00001011) (13) (11) (0B)   ;(00011000) (30) (24) (18)   ;(11101010) (352) (234) (EA)   ;(00111011) (73) (59) (3B)   ;(00100001) (41) (33) (21)   ;(00000011) (3) (3) (03)   ;
;304;(00000000) (0) (0) (00)    ;(00111001) (71) (57) (39)   ;(01111110) (176) (126) (7E)   ;(00111101) (75) (61) (3D)   ;(00100000) (40) (32) (20)   ;(00000100) (4) (4) (04)   ;(00111110) (76) (62) (3E)   ;(00000001) (1) (1) (01)   ;
;312;(00011000) (30) (24) (18)    ;(00000001) (1) (1) (01)   ;(10101111) (257) (175) (AF)   ;(00110011) (63) (51) (33)   ;(11110101) (365) (245) (F5)   ;(00110011) (63) (51) (33)   ;(11001101) (315) (205) (CD)   ;(00010000) (20) (16) (10)   ;
;320;(00010100) (24) (20) (14)    ;(01111101) (175) (125) (7D)   ;(11010110) (326) (214) (D6)   ;(00000010) (2) (2) (02)   ;(00101000) (50) (40) (28)   ;(00101001) (51) (41) (29)   ;(00111110) (76) (62) (3E)   ;(11111111) (377) (255) (FF)   ;
;328;(11110101) (365) (245) (F5)    ;(00110011) (63) (51) (33)   ;(11001101) (315) (205) (CD)   ;(00001000) (10) (8) (08)   ;(00010100) (24) (20) (14)   ;(00110011) (63) (51) (33)   ;(00100001) (41) (33) (21)   ;(00000000) (0) (0) (00)   ;
;336;(00000000) (0) (0) (00)    ;(00111001) (71) (57) (39)   ;(01111110) (176) (126) (7E)   ;(10110111) (267) (183) (B7)   ;(00101000) (50) (40) (28)   ;(11101000) (350) (232) (E8)   ;(00100001) (41) (33) (21)   ;(11010000) (320) (208) (D0)   ;
;344;(00000111) (7) (7) (07)    ;(11100101) (345) (229) (E5)   ;(11001101) (315) (205) (CD)   ;(00000000) (0) (0) (00)   ;(00000001) (1) (1) (01)   ;(11110001) (361) (241) (F1)   ;(10101111) (257) (175) (AF)   ;(11110101) (365) (245) (F5)   ;
;352;(00110011) (63) (51) (33)    ;(11001101) (315) (205) (CD)   ;(00001000) (10) (8) (08)   ;(00010100) (24) (20) (14)   ;(00110011) (63) (51) (33)   ;(00100001) (41) (33) (21)   ;(10001000) (210) (136) (88)   ;(00010011) (23) (19) (13)   ;
;360;(11100101) (345) (229) (E5)    ;(11001101) (315) (205) (CD)   ;(00000000) (0) (0) (00)   ;(00000001) (1) (1) (01)   ;(11110001) (361) (241) (F1)   ;(00011000) (30) (24) (18)   ;(11001111) (317) (207) (CF)   ;(00110011) (63) (51) (33)   ;
;368;(11001001) (311) (201) (C9)    ;(00100001) (41) (33) (21)   ;(00001100) (14) (12) (0C)   ;(00000000) (0) (0) (00)   ;(11100101) (345) (229) (E5)   ;(00101110) (56) (46) (2E)   ;(00000101) (5) (5) (05)   ;(11100101) (345) (229) (E5)   ;
;376;(11001101) (315) (205) (CD)    ;(10011111) (237) (159) (9F)   ;(00010011) (23) (19) (13)   ;(11110001) (361) (241) (F1)   ;(11110001) (361) (241) (F1)   ;(00100001) (41) (33) (21)   ;(00000010) (2) (2) (02)   ;(00000000) (0) (0) (00)   ;
;384;(00111001) (71) (57) (39)    ;(01111110) (176) (126) (7E)   ;(10110111) (267) (183) (B7)   ;(00100000) (40) (32) (20)   ;(00010000) (20) (16) (10)   ;(00100001) (41) (33) (21)   ;(10100101) (245) (165) (A5)   ;(00000001) (1) (1) (01)   ;
;392;(11100101) (345) (229) (E5)    ;(11001101) (315) (205) (CD)   ;(11110111) (367) (247) (F7)   ;(00010100) (24) (20) (14)   ;(11110001) (361) (241) (F1)   ;(10101111) (257) (175) (AF)   ;(11110101) (365) (245) (F5)   ;(00110011) (63) (51) (33)   ;
;400;(11001101) (315) (205) (CD)    ;(00101101) (55) (45) (2D)   ;(00000001) (1) (1) (01)   ;(00110011) (63) (51) (33)   ;(11001001) (311) (201) (C9)   ;(00100001) (41) (33) (21)   ;(10110010) (262) (178) (B2)   ;(00000001) (1) (1) (01)   ;
;408;(11100101) (345) (229) (E5)    ;(11001101) (315) (205) (CD)   ;(11110111) (367) (247) (F7)   ;(00010100) (24) (20) (14)   ;(00100110) (46) (38) (26)   ;(00000001) (1) (1) (01)   ;(11100011) (343) (227) (E3)   ;(00110011) (63) (51) (33)   ;
;416;(11001101) (315) (205) (CD)    ;(00101101) (55) (45) (2D)   ;(00000001) (1) (1) (01)   ;(00110011) (63) (51) (33)   ;(11001001) (311) (201) (C9)   ;(01010100) (124) (84) (54)   ;(01100101) (145) (101) (65)   ;(01110011) (163) (115) (73)   ;
;424;(01110100) (164) (116) (74)    ;(00100000) (40) (32) (20)   ;(01110000) (160) (112) (70)   ;(01100001) (141) (97) (61)   ;(01110011) (163) (115) (73)   ;(01110011) (163) (115) (73)   ;(01100101) (145) (101) (65)   ;(01100100) (144) (100) (64)   ;
;432;(00101110) (56) (46) (2E)    ;(00000000) (0) (0) (00)   ;(01010100) (124) (84) (54)   ;(01100101) (145) (101) (65)   ;(01110011) (163) (115) (73)   ;(01110100) (164) (116) (74)   ;(00100000) (40) (32) (20)   ;(01000110) (106) (70) (46)   ;
;440;(01100001) (141) (97) (61)    ;(01101001) (151) (105) (69)   ;(01101100) (154) (108) (6C)   ;(01100101) (145) (101) (65)   ;(01100100) (144) (100) (64)   ;(00100000) (40) (32) (20)   ;(00100001) (41) (33) (21)   ;(00100001) (41) (33) (21)   ;
;448;(00100001) (41) (33) (21)    ;(00000000) (0) (0) (00)   ;(11011101) (335) (221) (DD)   ;(11100101) (345) (229) (E5)   ;(11011101) (335) (221) (DD)   ;(00100001) (41) (33) (21)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;456;(11011101) (335) (221) (DD)    ;(00111001) (71) (57) (39)   ;(11110101) (365) (245) (F5)   ;(11011101) (335) (221) (DD)   ;(01001110) (116) (78) (4E)   ;(00000100) (4) (4) (04)   ;(11011101) (335) (221) (DD)   ;(01000110) (106) (70) (46)   ;
;464;(00000101) (5) (5) (05)    ;(01111001) (171) (121) (79)   ;(11011101) (335) (221) (DD)   ;(10010110) (226) (150) (96)   ;(00000110) (6) (6) (06)   ;(01111000) (170) (120) (78)   ;(11011101) (335) (221) (DD)   ;(10011110) (236) (158) (9E)   ;
;472;(00000111) (7) (7) (07)    ;(00110000) (60) (48) (30)   ;(01111100) (174) (124) (7C)   ;(11000101) (305) (197) (C5)   ;(11000101) (305) (197) (C5)   ;(11001101) (315) (205) (CD)   ;(10000011) (203) (131) (83)   ;(00010011) (23) (19) (13)   ;
;480;(11110001) (361) (241) (F1)    ;(01010101) (125) (85) (55)   ;(11000001) (301) (193) (C1)   ;(11000101) (305) (197) (C5)   ;(11010101) (325) (213) (D5)   ;(00111110) (76) (62) (3E)   ;(01000001) (101) (65) (41)   ;(11110101) (365) (245) (F5)   ;
;488;(00110011) (63) (51) (33)    ;(11000101) (305) (197) (C5)   ;(11001101) (315) (205) (CD)   ;(01110100) (164) (116) (74)   ;(00010011) (23) (19) (13)   ;(11110001) (361) (241) (F1)   ;(00110011) (63) (51) (33)   ;(11010001) (321) (209) (D1)   ;
;496;(11000001) (301) (193) (C1)    ;(11000101) (305) (197) (C5)   ;(11010101) (325) (213) (D5)   ;(11000101) (305) (197) (C5)   ;(11001101) (315) (205) (CD)   ;(10000011) (203) (131) (83)   ;(00010011) (23) (19) (13)   ;(11110001) (361) (241) (F1)   ;
;504;(11010001) (321) (209) (D1)    ;(11000001) (301) (193) (C1)   ;(11011101) (335) (221) (DD)   ;(01110101) (165) (117) (75)   ;(11111111) (377) (255) (FF)   ;(11000101) (305) (197) (C5)   ;(11010101) (325) (213) (D5)   ;(00111110) (76) (62) (3E)   ;
;512;(01000010) (102) (66) (42)    ;(11110101) (365) (245) (F5)   ;(00110011) (63) (51) (33)   ;(11000101) (305) (197) (C5)   ;(11001101) (315) (205) (CD)   ;(01110100) (164) (116) (74)   ;(00010011) (23) (19) (13)   ;(11110001) (361) (241) (F1)   ;
;520;(00110011) (63) (51) (33)    ;(11010001) (321) (209) (D1)   ;(11000001) (301) (193) (C1)   ;(11000101) (305) (197) (C5)   ;(11010101) (325) (213) (D5)   ;(11000101) (305) (197) (C5)   ;(11001101) (315) (205) (CD)   ;(10000011) (203) (131) (83)   ;
;528;(00010011) (23) (19) (13)    ;(11110001) (361) (241) (F1)   ;(11010001) (321) (209) (D1)   ;(11000001) (301) (193) (C1)   ;(11011101) (335) (221) (DD)   ;(01110101) (165) (117) (75)   ;(11111110) (376) (254) (FE)   ;(11000101) (305) (197) (C5)   ;
;536;(11010101) (325) (213) (D5)    ;(00110011) (63) (51) (33)   ;(11000101) (305) (197) (C5)   ;(11001101) (315) (205) (CD)   ;(01110100) (164) (116) (74)   ;(00010011) (23) (19) (13)   ;(11110001) (361) (241) (F1)   ;(00110011) (63) (51) (33)   ;
;544;(00100001) (41) (33) (21)    ;(00001011) (13) (11) (0B)   ;(00000000) (0) (0) (00)   ;(11100101) (345) (229) (E5)   ;(00101110) (56) (46) (2E)   ;(00000101) (5) (5) (05)   ;(11100101) (345) (229) (E5)   ;(11001101) (315) (205) (CD)   ;
;552;(10011111) (237) (159) (9F)    ;(00010011) (23) (19) (13)   ;(11110001) (361) (241) (F1)   ;(11110001) (361) (241) (F1)   ;(11000001) (301) (193) (C1)   ;(11000101) (305) (197) (C5)   ;(11000101) (305) (197) (C5)   ;(00100001) (41) (33) (21)   ;
;560;(01100101) (145) (101) (65)    ;(00000010) (2) (2) (02)   ;(11100101) (345) (229) (E5)   ;(11001101) (315) (205) (CD)   ;(11110111) (367) (247) (F7)   ;(00010100) (24) (20) (14)   ;(11110001) (361) (241) (F1)   ;(11110001) (361) (241) (F1)   ;
;568;(11000001) (301) (193) (C1)    ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(11111111) (377) (255) (FF)   ;(11010110) (326) (214) (D6)   ;(01000001) (101) (65) (41)   ;(00100000) (40) (32) (20)   ;(00000111) (7) (7) (07)   ;
;576;(11011101) (335) (221) (DD)    ;(01111110) (176) (126) (7E)   ;(11111110) (376) (254) (FE)   ;(11010110) (326) (214) (D6)   ;(01000010) (102) (66) (42)   ;(00101000) (50) (40) (28)   ;(00001100) (14) (12) (0C)   ;(00111110) (76) (62) (3E)   ;
;584;(00000001) (1) (1) (01)    ;(11110101) (365) (245) (F5)   ;(00110011) (63) (51) (33)   ;(11001101) (315) (205) (CD)   ;(01110001) (161) (113) (71)   ;(00000001) (1) (1) (01)   ;(00110011) (63) (51) (33)   ;(00101110) (56) (46) (2E)   ;
;592;(00000000) (0) (0) (00)    ;(00011000) (30) (24) (18)   ;(00001101) (15) (13) (0D)   ;(00000011) (3) (3) (03)   ;(11000011) (303) (195) (C3)   ;(11010001) (321) (209) (D1)   ;(00000001) (1) (1) (01)   ;(10101111) (257) (175) (AF)   ;
;600;(11110101) (365) (245) (F5)    ;(00110011) (63) (51) (33)   ;(11001101) (315) (205) (CD)   ;(01110001) (161) (113) (71)   ;(00000001) (1) (1) (01)   ;(00110011) (63) (51) (33)   ;(00101110) (56) (46) (2E)   ;(00000001) (1) (1) (01)   ;
;608;(11011101) (335) (221) (DD)    ;(11111001) (371) (249) (F9)   ;(11011101) (335) (221) (DD)   ;(11100001) (341) (225) (E1)   ;(11001001) (311) (201) (C9)   ;(01010111) (127) (87) (57)   ;(01110010) (162) (114) (72)   ;(01101001) (151) (105) (69)   ;
;616;(01110100) (164) (116) (74)    ;(01101001) (151) (105) (69)   ;(01101110) (156) (110) (6E)   ;(01100111) (147) (103) (67)   ;(00100000) (40) (32) (20)   ;(01110100) (164) (116) (74)   ;(01101111) (157) (111) (6F)   ;(00100000) (40) (32) (20)   ;
;624;(01100001) (141) (97) (61)    ;(01100100) (144) (100) (64)   ;(01100100) (144) (100) (64)   ;(01110010) (162) (114) (72)   ;(01100101) (145) (101) (65)   ;(01110011) (163) (115) (73)   ;(01110011) (163) (115) (73)   ;(00111010) (72) (58) (3A)   ;
;632;(00100000) (40) (32) (20)    ;(00110000) (60) (48) (30)   ;(01111000) (170) (120) (78)   ;(00100101) (45) (37) (25)   ;(01111000) (170) (120) (78)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;
;640;(00100000) (40) (32) (20)    ;(00100000) (40) (32) (20)   ;(00000000) (0) (0) (00)   ;(11011101) (335) (221) (DD)   ;(11100101) (345) (229) (E5)   ;(11011101) (335) (221) (DD)   ;(00100001) (41) (33) (21)   ;(00000000) (0) (0) (00)   ;
;648;(00000000) (0) (0) (00)    ;(11011101) (335) (221) (DD)   ;(00111001) (71) (57) (39)   ;(00100001) (41) (33) (21)   ;(11100000) (340) (224) (E0)   ;(11111111) (377) (255) (FF)   ;(00111001) (71) (57) (39)   ;(11111001) (371) (249) (F9)   ;
;656;(00100001) (41) (33) (21)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00111001) (71) (57) (39)   ;(01001101) (115) (77) (4D)   ;(01000100) (104) (68) (44)   ;(00110110) (66) (54) (36)   ;(00000001) (1) (1) (01)   ;
;664;(01101001) (151) (105) (69)    ;(01100000) (140) (96) (60)   ;(00100011) (43) (35) (23)   ;(00110110) (66) (54) (36)   ;(00000001) (1) (1) (01)   ;(01101001) (151) (105) (69)   ;(01100000) (140) (96) (60)   ;(00100011) (43) (35) (23)   ;
;672;(00100011) (43) (35) (23)    ;(00110110) (66) (54) (36)   ;(00000011) (3) (3) (03)   ;(01101001) (151) (105) (69)   ;(01100000) (140) (96) (60)   ;(00100011) (43) (35) (23)   ;(00100011) (43) (35) (23)   ;(00100011) (43) (35) (23)   ;
;680;(00110110) (66) (54) (36)    ;(00010011) (23) (19) (13)   ;(00100001) (41) (33) (21)   ;(00000100) (4) (4) (04)   ;(00000000) (0) (0) (00)   ;(00001001) (11) (9) (09)   ;(00110110) (66) (54) (36)   ;(00010011) (23) (19) (13)   ;
;688;(00100001) (41) (33) (21)    ;(00000101) (5) (5) (05)   ;(00000000) (0) (0) (00)   ;(00001001) (11) (9) (09)   ;(00110110) (66) (54) (36)   ;(10010111) (227) (151) (97)   ;(00100001) (41) (33) (21)   ;(00000110) (6) (6) (06)   ;
;696;(00000000) (0) (0) (00)    ;(00001001) (11) (9) (09)   ;(00110110) (66) (54) (36)   ;(10010111) (227) (151) (97)   ;(00100001) (41) (33) (21)   ;(00000111) (7) (7) (07)   ;(00000000) (0) (0) (00)   ;(00001001) (11) (9) (09)   ;
;704;(00110110) (66) (54) (36)    ;(10011110) (236) (158) (9E)   ;(00100001) (41) (33) (21)   ;(00001000) (10) (8) (08)   ;(00000000) (0) (0) (00)   ;(00001001) (11) (9) (09)   ;(00110110) (66) (54) (36)   ;(10000000) (200) (128) (80)   ;
;712;(00100001) (41) (33) (21)    ;(00001001) (11) (9) (09)   ;(00000000) (0) (0) (00)   ;(00001001) (11) (9) (09)   ;(00110110) (66) (54) (36)   ;(10000000) (200) (128) (80)   ;(00100001) (41) (33) (21)   ;(00001010) (12) (10) (0A)   ;
;720;(00000000) (0) (0) (00)    ;(00001001) (11) (9) (09)   ;(00110110) (66) (54) (36)   ;(11000000) (300) (192) (C0)   ;(00100001) (41) (33) (21)   ;(00001011) (13) (11) (0B)   ;(00000000) (0) (0) (00)   ;(00001001) (11) (9) (09)   ;
;728;(00110110) (66) (54) (36)    ;(11001000) (310) (200) (C8)   ;(00100001) (41) (33) (21)   ;(00001100) (14) (12) (0C)   ;(00000000) (0) (0) (00)   ;(00001001) (11) (9) (09)   ;(00110110) (66) (54) (36)   ;(11001000) (310) (200) (C8)   ;
;736;(00100001) (41) (33) (21)    ;(00001101) (15) (13) (0D)   ;(00000000) (0) (0) (00)   ;(00001001) (11) (9) (09)   ;(00110110) (66) (54) (36)   ;(11101001) (351) (233) (E9)   ;(00100001) (41) (33) (21)   ;(00001110) (16) (14) (0E)   ;
;744;(00000000) (0) (0) (00)    ;(00001001) (11) (9) (09)   ;(00110110) (66) (54) (36)   ;(11101001) (351) (233) (E9)   ;(00100001) (41) (33) (21)   ;(00001111) (17) (15) (0F)   ;(00000000) (0) (0) (00)   ;(00001001) (11) (9) (09)   ;
;752;(00110110) (66) (54) (36)    ;(01111001) (171) (121) (79)   ;(00100001) (41) (33) (21)   ;(00010000) (20) (16) (10)   ;(00000000) (0) (0) (00)   ;(00001001) (11) (9) (09)   ;(00110110) (66) (54) (36)   ;(10111100) (274) (188) (BC)   ;
;760;(00100001) (41) (33) (21)    ;(00010001) (21) (17) (11)   ;(00000000) (0) (0) (00)   ;(00001001) (11) (9) (09)   ;(00110110) (66) (54) (36)   ;(10111101) (275) (189) (BD)   ;(00100001) (41) (33) (21)   ;(00010010) (22) (18) (12)   ;
;768;(00000000) (0) (0) (00)    ;(00001001) (11) (9) (09)   ;(00110110) (66) (54) (36)   ;(11111111) (377) (255) (FF)   ;(00100001) (41) (33) (21)   ;(00010011) (23) (19) (13)   ;(00000000) (0) (0) (00)   ;(00001001) (11) (9) (09)   ;
;776;(00110110) (66) (54) (36)    ;(11111111) (377) (255) (FF)   ;(00100001) (41) (33) (21)   ;(00010100) (24) (20) (14)   ;(00000000) (0) (0) (00)   ;(00001001) (11) (9) (09)   ;(00110110) (66) (54) (36)   ;(11111011) (373) (251) (FB)   ;
;784;(00100001) (41) (33) (21)    ;(00010101) (25) (21) (15)   ;(00000000) (0) (0) (00)   ;(00001001) (11) (9) (09)   ;(00110110) (66) (54) (36)   ;(11110011) (363) (243) (F3)   ;(00100001) (41) (33) (21)   ;(00010110) (26) (22) (16)   ;
;792;(00000000) (0) (0) (00)    ;(00001001) (11) (9) (09)   ;(00110110) (66) (54) (36)   ;(11100001) (341) (225) (E1)   ;(00100001) (41) (33) (21)   ;(00010111) (27) (23) (17)   ;(00000000) (0) (0) (00)   ;(00001001) (11) (9) (09)   ;
;800;(00110110) (66) (54) (36)    ;(11000001) (301) (193) (C1)   ;(00100001) (41) (33) (21)   ;(00011000) (30) (24) (18)   ;(00000000) (0) (0) (00)   ;(00001001) (11) (9) (09)   ;(00110110) (66) (54) (36)   ;(00111101) (75) (61) (3D)   ;
;808;(00100001) (41) (33) (21)    ;(00011001) (31) (25) (19)   ;(00000000) (0) (0) (00)   ;(00001001) (11) (9) (09)   ;(00110110) (66) (54) (36)   ;(10111101) (275) (189) (BD)   ;(00100001) (41) (33) (21)   ;(00011010) (32) (26) (1A)   ;
;816;(00000000) (0) (0) (00)    ;(00001001) (11) (9) (09)   ;(00110110) (66) (54) (36)   ;(11111111) (377) (255) (FF)   ;(00100001) (41) (33) (21)   ;(00011011) (33) (27) (1B)   ;(00000000) (0) (0) (00)   ;(00001001) (11) (9) (09)   ;
;824;(00110110) (66) (54) (36)    ;(11111111) (377) (255) (FF)   ;(00100001) (41) (33) (21)   ;(00011100) (34) (28) (1C)   ;(00000000) (0) (0) (00)   ;(00001001) (11) (9) (09)   ;(00110110) (66) (54) (36)   ;(11011111) (337) (223) (DF)   ;
;832;(00100001) (41) (33) (21)    ;(00011101) (35) (29) (1D)   ;(00000000) (0) (0) (00)   ;(00001001) (11) (9) (09)   ;(00110110) (66) (54) (36)   ;(11001111) (317) (207) (CF)   ;(00100001) (41) (33) (21)   ;(00011110) (36) (30) (1E)   ;
;840;(00000000) (0) (0) (00)    ;(00001001) (11) (9) (09)   ;(00110110) (66) (54) (36)   ;(10000111) (207) (135) (87)   ;(00100001) (41) (33) (21)   ;(00011111) (37) (31) (1F)   ;(00000000) (0) (0) (00)   ;(00001001) (11) (9) (09)   ;
;848;(00110110) (66) (54) (36)    ;(10000011) (203) (131) (83)   ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(00000100) (4) (4) (04)   ;(11000110) (306) (198) (C6)   ;(00001000) (10) (8) (08)   ;(11011101) (335) (221) (DD)   ;
;856;(01110111) (167) (119) (77)    ;(00000100) (4) (4) (04)   ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(00000101) (5) (5) (05)   ;(11001110) (316) (206) (CE)   ;(00000000) (0) (0) (00)   ;(11011101) (335) (221) (DD)   ;
;864;(01110111) (167) (119) (77)    ;(00000101) (5) (5) (05)   ;(00010001) (21) (17) (11)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(01101011) (153) (107) (6B)   ;(01100010) (142) (98) (62)   ;(00001001) (11) (9) (09)   ;
;872;(01100110) (146) (102) (66)    ;(11010101) (325) (213) (D5)   ;(11111101) (375) (253) (FD)   ;(11100001) (341) (225) (E1)   ;(11000101) (305) (197) (C5)   ;(11011101) (335) (221) (DD)   ;(01001110) (116) (78) (4E)   ;(00000100) (4) (4) (04)   ;
;880;(11011101) (335) (221) (DD)    ;(01000110) (106) (70) (46)   ;(00000101) (5) (5) (05)   ;(11111101) (375) (253) (FD)   ;(00001001) (11) (9) (09)   ;(11010101) (325) (213) (D5)   ;(11100101) (345) (229) (E5)   ;(00110011) (63) (51) (33)   ;
;888;(11111101) (375) (253) (FD)    ;(11100101) (345) (229) (E5)   ;(11001101) (315) (205) (CD)   ;(01110100) (164) (116) (74)   ;(00010011) (23) (19) (13)   ;(11110001) (361) (241) (F1)   ;(00110011) (63) (51) (33)   ;(11010001) (321) (209) (D1)   ;
;896;(11000001) (301) (193) (C1)    ;(00010011) (23) (19) (13)   ;(01111011) (173) (123) (7B)   ;(11010110) (326) (214) (D6)   ;(00100000) (40) (32) (20)   ;(01111010) (172) (122) (7A)   ;(00010111) (27) (23) (17)   ;(00111111) (77) (63) (3F)   ;
;904;(00011111) (37) (31) (1F)    ;(11011110) (336) (222) (DE)   ;(10000000) (200) (128) (80)   ;(00111000) (70) (56) (38)   ;(11011000) (330) (216) (D8)   ;(00100001) (41) (33) (21)   ;(11010100) (324) (212) (D4)   ;(01010111) (127) (87) (57)   ;
;912;(11100101) (345) (229) (E5)    ;(11001101) (315) (205) (CD)   ;(10010110) (226) (150) (96)   ;(00010011) (23) (19) (13)   ;(11110001) (361) (241) (F1)   ;(00000001) (1) (1) (01)   ;(01100101) (145) (101) (65)   ;(00000100) (4) (4) (04)   ;
;920;(00001001) (11) (9) (09)    ;(01001101) (115) (77) (4D)   ;(01000100) (104) (68) (44)   ;(00111110) (76) (62) (3E)   ;(00000001) (1) (1) (01)   ;(11110101) (365) (245) (F5)   ;(00110011) (63) (51) (33)   ;(11000101) (305) (197) (C5)   ;
;928;(11001101) (315) (205) (CD)    ;(01110100) (164) (116) (74)   ;(00010011) (23) (19) (13)   ;(00110011) (63) (51) (33)   ;(00100001) (41) (33) (21)   ;(11010100) (324) (212) (D4)   ;(01010111) (127) (87) (57)   ;(11100011) (343) (227) (E3)   ;
;936;(11001101) (315) (205) (CD)    ;(10010110) (226) (150) (96)   ;(00010011) (23) (19) (13)   ;(11110001) (361) (241) (F1)   ;(00000001) (1) (1) (01)   ;(01100110) (146) (102) (66)   ;(00000100) (4) (4) (04)   ;(00001001) (11) (9) (09)   ;
;944;(01001101) (115) (77) (4D)    ;(01000100) (104) (68) (44)   ;(00111110) (76) (62) (3E)   ;(00000010) (2) (2) (02)   ;(11110101) (365) (245) (F5)   ;(00110011) (63) (51) (33)   ;(11000101) (305) (197) (C5)   ;(11001101) (315) (205) (CD)   ;
;952;(01110100) (164) (116) (74)    ;(00010011) (23) (19) (13)   ;(00110011) (63) (51) (33)   ;(00100001) (41) (33) (21)   ;(11010100) (324) (212) (D4)   ;(01010111) (127) (87) (57)   ;(11100011) (343) (227) (E3)   ;(11001101) (315) (205) (CD)   ;
;960;(10010110) (226) (150) (96)    ;(00010011) (23) (19) (13)   ;(11110001) (361) (241) (F1)   ;(00000001) (1) (1) (01)   ;(10110101) (265) (181) (B5)   ;(00000100) (4) (4) (04)   ;(00001001) (11) (9) (09)   ;(01001101) (115) (77) (4D)   ;
;968;(01000100) (104) (68) (44)    ;(00111110) (76) (62) (3E)   ;(00000011) (3) (3) (03)   ;(11110101) (365) (245) (F5)   ;(00110011) (63) (51) (33)   ;(11000101) (305) (197) (C5)   ;(11001101) (315) (205) (CD)   ;(01110100) (164) (116) (74)   ;
;976;(00010011) (23) (19) (13)    ;(00110011) (63) (51) (33)   ;(00100001) (41) (33) (21)   ;(11010100) (324) (212) (D4)   ;(01010111) (127) (87) (57)   ;(11100011) (343) (227) (E3)   ;(11001101) (315) (205) (CD)   ;(10010110) (226) (150) (96)   ;
;984;(00010011) (23) (19) (13)    ;(11110001) (361) (241) (F1)   ;(00000001) (1) (1) (01)   ;(10110110) (266) (182) (B6)   ;(00000100) (4) (4) (04)   ;(00001001) (11) (9) (09)   ;(01001101) (115) (77) (4D)   ;(01000100) (104) (68) (44)   ;
;992;(00111110) (76) (62) (3E)    ;(00000100) (4) (4) (04)   ;(11110101) (365) (245) (F5)   ;(00110011) (63) (51) (33)   ;(11000101) (305) (197) (C5)   ;(11001101) (315) (205) (CD)   ;(01110100) (164) (116) (74)   ;(00010011) (23) (19) (13)   ;
;1000;(11110001) (361) (241) (F1)    ;(00110011) (63) (51) (33)   ;(10101111) (257) (175) (AF)   ;(11110101) (365) (245) (F5)   ;(00110011) (63) (51) (33)   ;(11001101) (315) (205) (CD)   ;(01110001) (161) (113) (71)   ;(00000001) (1) (1) (01)   ;
;1008;(11011101) (335) (221) (DD)    ;(11111001) (371) (249) (F9)   ;(11011101) (335) (221) (DD)   ;(11100001) (341) (225) (E1)   ;(11001001) (311) (201) (C9)   ;(00100001) (41) (33) (21)   ;(11011111) (337) (223) (DF)   ;(01010111) (127) (87) (57)   ;
;1016;(11100101) (345) (229) (E5)    ;(11001101) (315) (205) (CD)   ;(10000011) (203) (131) (83)   ;(00010011) (23) (19) (13)   ;(11100011) (343) (227) (E3)   ;(00000001) (1) (1) (01)   ;(00001011) (13) (11) (0B)   ;(00000000) (0) (0) (00)   ;
;1024;(11000101) (305) (197) (C5)    ;(00000001) (1) (1) (01)   ;(00000101) (5) (5) (05)   ;(00000000) (0) (0) (00)   ;(11000101) (305) (197) (C5)   ;(11001101) (315) (205) (CD)   ;(10011111) (237) (159) (9F)   ;(00010011) (23) (19) (13)   ;
;1032;(11110001) (361) (241) (F1)    ;(11110001) (361) (241) (F1)   ;(11100001) (341) (225) (E1)   ;(01111101) (175) (125) (7D)   ;(00111101) (75) (61) (3D)   ;(00101000) (50) (40) (28)   ;(00001001) (11) (9) (09)   ;(01111101) (175) (125) (7D)   ;
;1040;(11111110) (376) (254) (FE)    ;(00000010) (2) (2) (02)   ;(00101000) (50) (40) (28)   ;(00000100) (4) (4) (04)   ;(11010110) (326) (214) (D6)   ;(00000011) (3) (3) (03)   ;(00100000) (40) (32) (20)   ;(00101001) (51) (41) (29)   ;
;1048;(00100001) (41) (33) (21)    ;(01010011) (123) (83) (53)   ;(00000100) (4) (4) (04)   ;(11100101) (345) (229) (E5)   ;(11001101) (315) (205) (CD)   ;(11110111) (367) (247) (F7)   ;(00010100) (24) (20) (14)   ;(00100001) (41) (33) (21)   ;
;1056;(01101001) (151) (105) (69)    ;(00000100) (4) (4) (04)   ;(11100011) (343) (227) (E3)   ;(10101111) (257) (175) (AF)   ;(11110101) (365) (245) (F5)   ;(00110011) (63) (51) (33)   ;(11001101) (315) (205) (CD)   ;(01010100) (124) (84) (54)   ;
;1064;(00010100) (24) (20) (14)    ;(00110011) (63) (51) (33)   ;(00100001) (41) (33) (21)   ;(01111010) (172) (122) (7A)   ;(00000100) (4) (4) (04)   ;(11100011) (343) (227) (E3)   ;(00111110) (76) (62) (3E)   ;(00010000) (20) (16) (10)   ;
;1072;(11110101) (365) (245) (F5)    ;(00110011) (63) (51) (33)   ;(11001101) (315) (205) (CD)   ;(01010100) (124) (84) (54)   ;(00010100) (24) (20) (14)   ;(11110001) (361) (241) (F1)   ;(00110011) (63) (51) (33)   ;(10101111) (257) (175) (AF)   ;
;1080;(11110101) (365) (245) (F5)    ;(00110011) (63) (51) (33)   ;(11001101) (315) (205) (CD)   ;(01110001) (161) (113) (71)   ;(00000001) (1) (1) (01)   ;(00110011) (63) (51) (33)   ;(00101110) (56) (46) (2E)   ;(00000001) (1) (1) (01)   ;
;1088;(11001001) (311) (201) (C9)    ;(00100001) (41) (33) (21)   ;(10001011) (213) (139) (8B)   ;(00000100) (4) (4) (04)   ;(11100101) (345) (229) (E5)   ;(11001101) (315) (205) (CD)   ;(11110111) (367) (247) (F7)   ;(00010100) (24) (20) (14)   ;
;1096;(00100110) (46) (38) (26)    ;(00000001) (1) (1) (01)   ;(11100011) (343) (227) (E3)   ;(00110011) (63) (51) (33)   ;(11001101) (315) (205) (CD)   ;(01110001) (161) (113) (71)   ;(00000001) (1) (1) (01)   ;(00110011) (63) (51) (33)   ;
;1104;(00101110) (56) (46) (2E)    ;(00000000) (0) (0) (00)   ;(11001001) (311) (201) (C9)   ;(01010111) (127) (87) (57)   ;(01110010) (162) (114) (72)   ;(01101001) (151) (105) (69)   ;(01110100) (164) (116) (74)   ;(01101001) (151) (105) (69)   ;
;1112;(01101110) (156) (110) (6E)    ;(01100111) (147) (103) (67)   ;(00100000) (40) (32) (20)   ;(01110100) (164) (116) (74)   ;(01101111) (157) (111) (6F)   ;(00100000) (40) (32) (20)   ;(01001100) (114) (76) (4C)   ;(01000011) (103) (67) (43)   ;
;1120;(01000100) (104) (68) (44)    ;(00100000) (40) (32) (20)   ;(01101110) (156) (110) (6E)   ;(01101111) (157) (111) (6F)   ;(01110111) (167) (119) (77)   ;(00101110) (56) (46) (2E)   ;(00101110) (56) (46) (2E)   ;(00101110) (56) (46) (2E)   ;
;1128;(00000000) (0) (0) (00)    ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;(00100000) (40) (32) (20)   ;(01011010) (132) (90) (5A)   ;(00111000) (70) (56) (38)   ;
;1136;(00110000) (60) (48) (30)    ;(01010011) (123) (83) (53)   ;(01101111) (157) (111) (6F)   ;(01000011) (103) (67) (43)   ;(00100000) (40) (32) (20)   ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;
;1144;(00101010) (52) (42) (2A)    ;(00000000) (0) (0) (00)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(01010010) (122) (82) (52)   ;(01100101) (145) (101) (65)   ;(01110100) (164) (116) (74)   ;(01110010) (162) (114) (72)   ;
;1152;(01101111) (157) (111) (6F)    ;(00101101) (55) (45) (2D)   ;(01000011) (103) (67) (43)   ;(01010000) (120) (80) (50)   ;(01010101) (125) (85) (55)   ;(00101110) (56) (46) (2E)   ;(01110010) (162) (114) (72)   ;(01110101) (165) (117) (75)   ;
;1160;(01101110) (156) (110) (6E)    ;(00100000) (40) (32) (20)   ;(00000000) (0) (0) (00)   ;(01010100) (124) (84) (54)   ;(01101000) (150) (104) (68)   ;(01101001) (151) (105) (69)   ;(01110011) (163) (115) (73)   ;(00100000) (40) (32) (20)   ;
;1168;(01110000) (160) (112) (70)    ;(01101100) (154) (108) (6C)   ;(01100001) (141) (97) (61)   ;(01110100) (164) (116) (74)   ;(01100110) (146) (102) (66)   ;(01101111) (157) (111) (6F)   ;(01110010) (162) (114) (72)   ;(01101101) (155) (109) (6D)   ;
;1176;(00100000) (40) (32) (20)    ;(01100100) (144) (100) (64)   ;(01101111) (157) (111) (6F)   ;(01100101) (145) (101) (65)   ;(01110011) (163) (115) (73)   ;(00100000) (40) (32) (20)   ;(01101110) (156) (110) (6E)   ;(01101111) (157) (111) (6F)   ;
;1184;(01110100) (164) (116) (74)    ;(00100000) (40) (32) (20)   ;(01101000) (150) (104) (68)   ;(01100001) (141) (97) (61)   ;(01110110) (166) (118) (76)   ;(01100101) (145) (101) (65)   ;(00100000) (40) (32) (20)   ;(01001100) (114) (76) (4C)   ;
;1192;(01000011) (103) (67) (43)    ;(01000100) (104) (68) (44)   ;(00100000) (40) (32) (20)   ;(01000100) (104) (68) (44)   ;(01101001) (151) (105) (69)   ;(01110011) (163) (115) (73)   ;(01110000) (160) (112) (70)   ;(01101100) (154) (108) (6C)   ;
;1200;(01100001) (141) (97) (61)    ;(01111001) (171) (121) (79)   ;(00000000) (0) (0) (00)   ;(00100001) (41) (33) (21)   ;(11000000) (300) (192) (C0)   ;(00000100) (4) (4) (04)   ;(11100101) (345) (229) (E5)   ;(10101111) (257) (175) (AF)   ;
;1208;(11110101) (365) (245) (F5)    ;(00110011) (63) (51) (33)   ;(11001101) (315) (205) (CD)   ;(01010100) (124) (84) (54)   ;(00010100) (24) (20) (14)   ;(11110001) (361) (241) (F1)   ;(00110011) (63) (51) (33)   ;(11001001) (311) (201) (C9)   ;
;1216;(00100000) (40) (32) (20)    ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;
;1224;(00100000) (40) (32) (20)    ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;
;1232;(00100000) (40) (32) (20)    ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;
;1240;(00100000) (40) (32) (20)    ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;
;1248;(00000000) (0) (0) (00)    ;(10101111) (257) (175) (AF)   ;(11110101) (365) (245) (F5)   ;(00110011) (63) (51) (33)   ;(00100001) (41) (33) (21)   ;(11001101) (315) (205) (CD)   ;(01010111) (127) (87) (57)   ;(11100101) (345) (229) (E5)   ;
;1256;(11001101) (315) (205) (CD)    ;(01110100) (164) (116) (74)   ;(00010011) (23) (19) (13)   ;(00110011) (63) (51) (33)   ;(00100001) (41) (33) (21)   ;(11010000) (320) (208) (D0)   ;(01010111) (127) (87) (57)   ;(11100011) (343) (227) (E3)   ;
;1264;(11001101) (315) (205) (CD)    ;(10000011) (203) (131) (83)   ;(00010011) (23) (19) (13)   ;(11110001) (361) (241) (F1)   ;(01000101) (105) (69) (45)   ;(11000101) (305) (197) (C5)   ;(00110011) (63) (51) (33)   ;(11001101) (315) (205) (CD)   ;
;1272;(00101000) (50) (40) (28)    ;(00010100) (24) (20) (14)   ;(00110011) (63) (51) (33)   ;(00100001) (41) (33) (21)   ;(11010001) (321) (209) (D1)   ;(01010111) (127) (87) (57)   ;(11100101) (345) (229) (E5)   ;(11001101) (315) (205) (CD)   ;
;1280;(10000011) (203) (131) (83)    ;(00010011) (23) (19) (13)   ;(11110001) (361) (241) (F1)   ;(01000101) (105) (69) (45)   ;(11000101) (305) (197) (C5)   ;(00110011) (63) (51) (33)   ;(11001101) (315) (205) (CD)   ;(00110000) (60) (48) (30)   ;
;1288;(00010100) (24) (20) (14)    ;(00110011) (63) (51) (33)   ;(11000001) (301) (193) (C1)   ;(11100001) (341) (225) (E1)   ;(11100101) (345) (229) (E5)   ;(11000101) (305) (197) (C5)   ;(11100101) (345) (229) (E5)   ;(11001101) (315) (205) (CD)   ;
;1296;(11110111) (367) (247) (F7)    ;(00010100) (24) (20) (14)   ;(11110001) (361) (241) (F1)   ;(00101110) (56) (46) (2E)   ;(10101010) (252) (170) (AA)   ;(11001001) (311) (201) (C9)   ;(00100001) (41) (33) (21)   ;(11011111) (337) (223) (DF)   ;
;1304;(01010111) (127) (87) (57)    ;(11100101) (345) (229) (E5)   ;(11001101) (315) (205) (CD)   ;(10000011) (203) (131) (83)   ;(00010011) (23) (19) (13)   ;(11110001) (361) (241) (F1)   ;(01111101) (175) (125) (7D)   ;(00111101) (75) (61) (3D)   ;
;1312;(00101000) (50) (40) (28)    ;(00001001) (11) (9) (09)   ;(01111101) (175) (125) (7D)   ;(11111110) (376) (254) (FE)   ;(00000010) (2) (2) (02)   ;(00101000) (50) (40) (28)   ;(00000100) (4) (4) (04)   ;(11010110) (326) (214) (D6)   ;
;1320;(00000011) (3) (3) (03)    ;(00100000) (40) (32) (20)   ;(00000011) (3) (3) (03)   ;(00101110) (56) (46) (2E)   ;(00000001) (1) (1) (01)   ;(11001001) (311) (201) (C9)   ;(00101110) (56) (46) (2E)   ;(00000000) (0) (0) (00)   ;
;1328;(11001001) (311) (201) (C9)    ;(00100001) (41) (33) (21)   ;(11011110) (336) (222) (DE)   ;(01010111) (127) (87) (57)   ;(11100101) (345) (229) (E5)   ;(11001101) (315) (205) (CD)   ;(10000011) (203) (131) (83)   ;(00010011) (23) (19) (13)   ;
;1336;(11110001) (361) (241) (F1)    ;(11001001) (311) (201) (C9)   ;(00100001) (41) (33) (21)   ;(11011111) (337) (223) (DF)   ;(01010111) (127) (87) (57)   ;(11100101) (345) (229) (E5)   ;(11001101) (315) (205) (CD)   ;(10000011) (203) (131) (83)   ;
;1344;(00010011) (23) (19) (13)    ;(11110001) (361) (241) (F1)   ;(00100001) (41) (33) (21)   ;(00001011) (13) (11) (0B)   ;(00000000) (0) (0) (00)   ;(11100101) (345) (229) (E5)   ;(00101110) (56) (46) (2E)   ;(00000101) (5) (5) (05)   ;
;1352;(11100101) (345) (229) (E5)    ;(11001101) (315) (205) (CD)   ;(10011111) (237) (159) (9F)   ;(00010011) (23) (19) (13)   ;(11110001) (361) (241) (F1)   ;(00100001) (41) (33) (21)   ;(10011000) (230) (152) (98)   ;(00000101) (5) (5) (05)   ;
;1360;(11100011) (343) (227) (E3)    ;(11001101) (315) (205) (CD)   ;(11110111) (367) (247) (F7)   ;(00010100) (24) (20) (14)   ;(00100001) (41) (33) (21)   ;(11000101) (305) (197) (C5)   ;(00000101) (5) (5) (05)   ;(11100011) (343) (227) (E3)   ;
;1368;(11001101) (315) (205) (CD)    ;(11110111) (367) (247) (F7)   ;(00010100) (24) (20) (14)   ;(11110001) (361) (241) (F1)   ;(00100001) (41) (33) (21)   ;(00001110) (16) (14) (0E)   ;(00000000) (0) (0) (00)   ;(11100101) (345) (229) (E5)   ;
;1376;(00101110) (56) (46) (2E)    ;(00000101) (5) (5) (05)   ;(11100101) (345) (229) (E5)   ;(11001101) (315) (205) (CD)   ;(10011111) (237) (159) (9F)   ;(00010011) (23) (19) (13)   ;(11110001) (361) (241) (F1)   ;(11110001) (361) (241) (F1)   ;
;1384;(11001101) (315) (205) (CD)    ;(00110001) (61) (49) (31)   ;(00000101) (5) (5) (05)   ;(01001101) (115) (77) (4D)   ;(00000110) (6) (6) (06)   ;(00000000) (0) (0) (00)   ;(01111001) (171) (121) (79)   ;(11111110) (376) (254) (FE)   ;
;1392;(01000001) (101) (65) (41)    ;(00101000) (50) (40) (28)   ;(00011011) (33) (27) (1B)   ;(10010000) (220) (144) (90)   ;(00101000) (50) (40) (28)   ;(00001111) (17) (15) (0F)   ;(01011001) (131) (89) (59)   ;(00010110) (26) (22) (16)   ;
;1400;(00000000) (0) (0) (00)    ;(11000101) (305) (197) (C5)   ;(11010101) (325) (213) (D5)   ;(00100001) (41) (33) (21)   ;(11110001) (361) (241) (F1)   ;(00000101) (5) (5) (05)   ;(11100101) (345) (229) (E5)   ;(11001101) (315) (205) (CD)   ;
;1408;(11110111) (367) (247) (F7)    ;(00010100) (24) (20) (14)   ;(11110001) (361) (241) (F1)   ;(11110001) (361) (241) (F1)   ;(11000001) (301) (193) (C1)   ;(01000001) (101) (65) (41)   ;(11000101) (305) (197) (C5)   ;(11001101) (315) (205) (CD)   ;
;1416;(00110001) (61) (49) (31)    ;(00000101) (5) (5) (05)   ;(11000001) (301) (193) (C1)   ;(01001101) (115) (77) (4D)   ;(00011000) (30) (24) (18)   ;(11100000) (340) (224) (E0)   ;(10101111) (257) (175) (AF)   ;(11110101) (365) (245) (F5)   ;
;1424;(00110011) (63) (51) (33)    ;(11001101) (315) (205) (CD)   ;(01110001) (161) (113) (71)   ;(00000001) (1) (1) (01)   ;(00110011) (63) (51) (33)   ;(00101110) (56) (46) (2E)   ;(00000001) (1) (1) (01)   ;(11001001) (311) (201) (C9)   ;
;1432;(01010011) (123) (83) (53)    ;(01110100) (164) (116) (74)   ;(01100001) (141) (97) (61)   ;(01110010) (162) (114) (72)   ;(01110100) (164) (116) (74)   ;(01101001) (151) (105) (69)   ;(01101110) (156) (110) (6E)   ;(01100111) (147) (103) (67)   ;
;1440;(00100000) (40) (32) (20)    ;(01110000) (160) (112) (70)   ;(01110010) (162) (114) (72)   ;(01100101) (145) (101) (65)   ;(01110011) (163) (115) (73)   ;(01110011) (163) (115) (73)   ;(01101001) (151) (105) (69)   ;(01101110) (156) (110) (6E)   ;
;1448;(01100111) (147) (103) (67)    ;(00100000) (40) (32) (20)   ;(01101011) (153) (107) (6B)   ;(01100101) (145) (101) (65)   ;(01111001) (171) (121) (79)   ;(01110011) (163) (115) (73)   ;(00100000) (40) (32) (20)   ;(01101001) (151) (105) (69)   ;
;1456;(01101110) (156) (110) (6E)    ;(00100000) (40) (32) (20)   ;(01110100) (164) (116) (74)   ;(01101000) (150) (104) (68)   ;(01100101) (145) (101) (65)   ;(00100000) (40) (32) (20)   ;(01101011) (153) (107) (6B)   ;(01100101) (145) (101) (65)   ;
;1464;(01111001) (171) (121) (79)    ;(01100010) (142) (98) (62)   ;(01101111) (157) (111) (6F)   ;(01100001) (141) (97) (61)   ;(01110010) (162) (114) (72)   ;(01100100) (144) (100) (64)   ;(00100000) (40) (32) (20)   ;(01101110) (156) (110) (6E)   ;
;1472;(01101111) (157) (111) (6F)    ;(01110111) (167) (119) (77)   ;(00101110) (56) (46) (2E)   ;(00001010) (12) (10) (0A)   ;(00000000) (0) (0) (00)   ;(01010111) (127) (87) (57)   ;(01101000) (150) (104) (68)   ;(01100101) (145) (101) (65)   ;
;1480;(01101110) (156) (110) (6E)    ;(00100000) (40) (32) (20)   ;(01111001) (171) (121) (79)   ;(01101111) (157) (111) (6F)   ;(01110101) (165) (117) (75)   ;(00100000) (40) (32) (20)   ;(01110000) (160) (112) (70)   ;(01110010) (162) (114) (72)   ;
;1488;(01100101) (145) (101) (65)    ;(01110011) (163) (115) (73)   ;(01110011) (163) (115) (73)   ;(00100000) (40) (32) (20)   ;(01000101) (105) (69) (45)   ;(01001110) (116) (78) (4E)   ;(01010100) (124) (84) (54)   ;(01000101) (105) (69) (45)   ;
;1496;(01010010) (122) (82) (52)    ;(00100000) (40) (32) (20)   ;(01110100) (164) (116) (74)   ;(01101000) (150) (104) (68)   ;(01100101) (145) (101) (65)   ;(00100000) (40) (32) (20)   ;(01110100) (164) (116) (74)   ;(01100101) (145) (101) (65)   ;
;1504;(01110011) (163) (115) (73)    ;(01110100) (164) (116) (74)   ;(00100000) (40) (32) (20)   ;(01110111) (167) (119) (77)   ;(01101001) (151) (105) (69)   ;(01101100) (154) (108) (6C)   ;(01101100) (154) (108) (6C)   ;(00100000) (40) (32) (20)   ;
;1512;(01100110) (146) (102) (66)    ;(01101001) (151) (105) (69)   ;(01101110) (156) (110) (6E)   ;(01101001) (151) (105) (69)   ;(01110011) (163) (115) (73)   ;(01101000) (150) (104) (68)   ;(00101110) (56) (46) (2E)   ;(00001010) (12) (10) (0A)   ;
;1520;(00000000) (0) (0) (00)    ;(00100101) (45) (37) (25)   ;(01110011) (163) (115) (73)   ;(00000000) (0) (0) (00)   ;(11011101) (335) (221) (DD)   ;(11100101) (345) (229) (E5)   ;(11011101) (335) (221) (DD)   ;(00100001) (41) (33) (21)   ;
;1528;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(11011101) (335) (221) (DD)   ;(00111001) (71) (57) (39)   ;(00100001) (41) (33) (21)   ;(11111000) (370) (248) (F8)   ;(11111111) (377) (255) (FF)   ;(00111001) (71) (57) (39)   ;
;1536;(11111001) (371) (249) (F9)    ;(11011101) (335) (221) (DD)   ;(00110110) (66) (54) (36)   ;(11111001) (371) (249) (F9)   ;(00000001) (1) (1) (01)   ;(00100001) (41) (33) (21)   ;(11011111) (337) (223) (DF)   ;(01010111) (127) (87) (57)   ;
;1544;(11100101) (345) (229) (E5)    ;(11001101) (315) (205) (CD)   ;(10000011) (203) (131) (83)   ;(00010011) (23) (19) (13)   ;(11110001) (361) (241) (F1)   ;(11011101) (335) (221) (DD)   ;(01110101) (165) (117) (75)   ;(11111000) (370) (248) (F8)   ;
;1552;(11001101) (315) (205) (CD)    ;(01011110) (136) (94) (5E)   ;(00010011) (23) (19) (13)   ;(00100001) (41) (33) (21)   ;(00000011) (3) (3) (03)   ;(00000000) (0) (0) (00)   ;(11100101) (345) (229) (E5)   ;(00101110) (56) (46) (2E)   ;
;1560;(00001111) (17) (15) (0F)    ;(11100101) (345) (229) (E5)   ;(11001101) (315) (205) (CD)   ;(10011111) (237) (159) (9F)   ;(00010011) (23) (19) (13)   ;(11110001) (361) (241) (F1)   ;(00100001) (41) (33) (21)   ;(11011001) (331) (217) (D9)   ;
;1568;(00001010) (12) (10) (0A)    ;(11100011) (343) (227) (E3)   ;(11001101) (315) (205) (CD)   ;(11110111) (367) (247) (F7)   ;(00010100) (24) (20) (14)   ;(11110001) (361) (241) (F1)   ;(00100001) (41) (33) (21)   ;(00000100) (4) (4) (04)   ;
;1576;(00000000) (0) (0) (00)    ;(11100101) (345) (229) (E5)   ;(00101110) (56) (46) (2E)   ;(00001111) (17) (15) (0F)   ;(11100101) (345) (229) (E5)   ;(11001101) (315) (205) (CD)   ;(10011111) (237) (159) (9F)   ;(00010011) (23) (19) (13)   ;
;1584;(11110001) (361) (241) (F1)    ;(11110001) (361) (241) (F1)   ;(11011101) (335) (221) (DD)   ;(01001110) (116) (78) (4E)   ;(11111000) (370) (248) (F8)   ;(00000110) (6) (6) (06)   ;(00000000) (0) (0) (00)   ;(11000101) (305) (197) (C5)   ;
;1592;(00100001) (41) (33) (21)    ;(00001010) (12) (10) (0A)   ;(00001011) (13) (11) (0B)   ;(11100101) (345) (229) (E5)   ;(11001101) (315) (205) (CD)   ;(11110111) (367) (247) (F7)   ;(00010100) (24) (20) (14)   ;(11110001) (361) (241) (F1)   ;
;1600;(11110001) (361) (241) (F1)    ;(00100001) (41) (33) (21)   ;(00010100) (24) (20) (14)   ;(00000000) (0) (0) (00)   ;(11100101) (345) (229) (E5)   ;(00101110) (56) (46) (2E)   ;(00000000) (0) (0) (00)   ;(11100101) (345) (229) (E5)   ;
;1608;(11001101) (315) (205) (CD)    ;(10011111) (237) (159) (9F)   ;(00010011) (23) (19) (13)   ;(11110001) (361) (241) (F1)   ;(00100001) (41) (33) (21)   ;(00100001) (41) (33) (21)   ;(00001011) (13) (11) (0B)   ;(11100011) (343) (227) (E3)   ;
;1616;(11001101) (315) (205) (CD)    ;(11110111) (367) (247) (F7)   ;(00010100) (24) (20) (14)   ;(00100001) (41) (33) (21)   ;(00110000) (60) (48) (30)   ;(00001011) (13) (11) (0B)   ;(11100011) (343) (227) (E3)   ;(11001101) (315) (205) (CD)   ;
;1624;(11110111) (367) (247) (F7)    ;(00010100) (24) (20) (14)   ;(11110001) (361) (241) (F1)   ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(11111000) (370) (248) (F8)   ;(10110111) (267) (183) (B7)   ;(00100000) (40) (32) (20)   ;
;1632;(00001111) (17) (15) (0F)    ;(00100001) (41) (33) (21)   ;(01000000) (100) (64) (40)   ;(00001011) (13) (11) (0B)   ;(11100101) (345) (229) (E5)   ;(11001101) (315) (205) (CD)   ;(11110111) (367) (247) (F7)   ;(00010100) (24) (20) (14)   ;
;1640;(00100001) (41) (33) (21)    ;(01011110) (136) (94) (5E)   ;(00001011) (13) (11) (0B)   ;(11100011) (343) (227) (E3)   ;(11001101) (315) (205) (CD)   ;(11110111) (367) (247) (F7)   ;(00010100) (24) (20) (14)   ;(11110001) (361) (241) (F1)   ;
;1648;(11011101) (335) (221) (DD)    ;(01111110) (176) (126) (7E)   ;(11111000) (370) (248) (F8)   ;(11010110) (326) (214) (D6)   ;(00000010) (2) (2) (02)   ;(00100000) (40) (32) (20)   ;(00001111) (17) (15) (0F)   ;(00100001) (41) (33) (21)   ;
;1656;(10000101) (205) (133) (85)    ;(00001011) (13) (11) (0B)   ;(11100101) (345) (229) (E5)   ;(11001101) (315) (205) (CD)   ;(11110111) (367) (247) (F7)   ;(00010100) (24) (20) (14)   ;(00100001) (41) (33) (21)   ;(10100011) (243) (163) (A3)   ;
;1664;(00001011) (13) (11) (0B)    ;(11100011) (343) (227) (E3)   ;(11001101) (315) (205) (CD)   ;(11110111) (367) (247) (F7)   ;(00010100) (24) (20) (14)   ;(11110001) (361) (241) (F1)   ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;
;1672;(11111000) (370) (248) (F8)    ;(00111101) (75) (61) (3D)   ;(00100000) (40) (32) (20)   ;(00001111) (17) (15) (0F)   ;(00100001) (41) (33) (21)   ;(11001010) (312) (202) (CA)   ;(00001011) (13) (11) (0B)   ;(11100101) (345) (229) (E5)   ;
;1680;(11001101) (315) (205) (CD)    ;(11110111) (367) (247) (F7)   ;(00010100) (24) (20) (14)   ;(00100001) (41) (33) (21)   ;(11101000) (350) (232) (E8)   ;(00001011) (13) (11) (0B)   ;(11100011) (343) (227) (E3)   ;(11001101) (315) (205) (CD)   ;
;1688;(11110111) (367) (247) (F7)    ;(00010100) (24) (20) (14)   ;(11110001) (361) (241) (F1)   ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(11111000) (370) (248) (F8)   ;(11010110) (326) (214) (D6)   ;(00000011) (3) (3) (03)   ;
;1696;(00100000) (40) (32) (20)    ;(00000100) (4) (4) (04)   ;(00111110) (76) (62) (3E)   ;(00000001) (1) (1) (01)   ;(00011000) (30) (24) (18)   ;(00000001) (1) (1) (01)   ;(10101111) (257) (175) (AF)   ;(01001111) (117) (79) (4F)   ;
;1704;(10110111) (267) (183) (B7)    ;(00101000) (50) (40) (28)   ;(00010001) (21) (17) (11)   ;(11000101) (305) (197) (C5)   ;(00100001) (41) (33) (21)   ;(00001111) (17) (15) (0F)   ;(00001100) (14) (12) (0C)   ;(11100101) (345) (229) (E5)   ;
;1712;(11001101) (315) (205) (CD)    ;(11110111) (367) (247) (F7)   ;(00010100) (24) (20) (14)   ;(00100001) (41) (33) (21)   ;(00101101) (55) (45) (2D)   ;(00001100) (14) (12) (0C)   ;(11100011) (343) (227) (E3)   ;(11001101) (315) (205) (CD)   ;
;1720;(11110111) (367) (247) (F7)    ;(00010100) (24) (20) (14)   ;(11110001) (361) (241) (F1)   ;(11000001) (301) (193) (C1)   ;(01111001) (171) (121) (79)   ;(10110111) (267) (183) (B7)   ;(00101000) (50) (40) (28)   ;(01010111) (127) (87) (57)   ;
;1728;(00100001) (41) (33) (21)    ;(01010100) (124) (84) (54)   ;(00001100) (14) (12) (0C)   ;(11100101) (345) (229) (E5)   ;(11001101) (315) (205) (CD)   ;(11110111) (367) (247) (F7)   ;(00010100) (24) (20) (14)   ;(00100001) (41) (33) (21)   ;
;1736;(01010111) (127) (87) (57)    ;(00001100) (14) (12) (0C)   ;(11100011) (343) (227) (E3)   ;(11001101) (315) (205) (CD)   ;(11110111) (367) (247) (F7)   ;(00010100) (24) (20) (14)   ;(00100001) (41) (33) (21)   ;(01010100) (124) (84) (54)   ;
;1744;(00001100) (14) (12) (0C)    ;(11100011) (343) (227) (E3)   ;(11001101) (315) (205) (CD)   ;(11110111) (367) (247) (F7)   ;(00010100) (24) (20) (14)   ;(00100001) (41) (33) (21)   ;(10100000) (240) (160) (A0)   ;(00001100) (14) (12) (0C)   ;
;1752;(11100011) (343) (227) (E3)    ;(11001101) (315) (205) (CD)   ;(11110111) (367) (247) (F7)   ;(00010100) (24) (20) (14)   ;(00100001) (41) (33) (21)   ;(11010000) (320) (208) (D0)   ;(00001100) (14) (12) (0C)   ;(11100011) (343) (227) (E3)   ;
;1760;(11001101) (315) (205) (CD)    ;(11110111) (367) (247) (F7)   ;(00010100) (24) (20) (14)   ;(00100001) (41) (33) (21)   ;(11110101) (365) (245) (F5)   ;(00001100) (14) (12) (0C)   ;(11100011) (343) (227) (E3)   ;(11001101) (315) (205) (CD)   ;
;1768;(11110111) (367) (247) (F7)    ;(00010100) (24) (20) (14)   ;(00100001) (41) (33) (21)   ;(00011010) (32) (26) (1A)   ;(00001101) (15) (13) (0D)   ;(11100011) (343) (227) (E3)   ;(11001101) (315) (205) (CD)   ;(11110111) (367) (247) (F7)   ;
;1776;(00010100) (24) (20) (14)    ;(00100001) (41) (33) (21)   ;(01010110) (126) (86) (56)   ;(00001101) (15) (13) (0D)   ;(11100011) (343) (227) (E3)   ;(11001101) (315) (205) (CD)   ;(11110111) (367) (247) (F7)   ;(00010100) (24) (20) (14)   ;
;1784;(00100001) (41) (33) (21)    ;(10000010) (202) (130) (82)   ;(00001101) (15) (13) (0D)   ;(11100011) (343) (227) (E3)   ;(11001101) (315) (205) (CD)   ;(11110111) (367) (247) (F7)   ;(00010100) (24) (20) (14)   ;(00100001) (41) (33) (21)   ;
;1792;(01010100) (124) (84) (54)    ;(00001100) (14) (12) (0C)   ;(11100011) (343) (227) (E3)   ;(11001101) (315) (205) (CD)   ;(11110111) (367) (247) (F7)   ;(00010100) (24) (20) (14)   ;(00100001) (41) (33) (21)   ;(10110000) (260) (176) (B0)   ;
;1800;(00001101) (15) (13) (0D)    ;(11100011) (343) (227) (E3)   ;(11001101) (315) (205) (CD)   ;(11110111) (367) (247) (F7)   ;(00010100) (24) (20) (14)   ;(00100001) (41) (33) (21)   ;(01010100) (124) (84) (54)   ;(00001100) (14) (12) (0C)   ;
;1808;(11100011) (343) (227) (E3)    ;(11001101) (315) (205) (CD)   ;(11110111) (367) (247) (F7)   ;(00010100) (24) (20) (14)   ;(11110001) (361) (241) (F1)   ;(00011000) (30) (24) (18)   ;(01011100) (134) (92) (5C)   ;(00100001) (41) (33) (21)   ;
;1816;(01010100) (124) (84) (54)    ;(00001100) (14) (12) (0C)   ;(11100101) (345) (229) (E5)   ;(11001101) (315) (205) (CD)   ;(11110111) (367) (247) (F7)   ;(00010100) (24) (20) (14)   ;(00100001) (41) (33) (21)   ;(11110110) (366) (246) (F6)   ;
;1824;(00001101) (15) (13) (0D)    ;(11100011) (343) (227) (E3)   ;(11001101) (315) (205) (CD)   ;(11110111) (367) (247) (F7)   ;(00010100) (24) (20) (14)   ;(00100001) (41) (33) (21)   ;(00110001) (61) (49) (31)   ;(00001110) (16) (14) (0E)   ;
;1832;(11100011) (343) (227) (E3)    ;(11001101) (315) (205) (CD)   ;(11110111) (367) (247) (F7)   ;(00010100) (24) (20) (14)   ;(00100001) (41) (33) (21)   ;(01010100) (124) (84) (54)   ;(00001110) (16) (14) (0E)   ;(11100011) (343) (227) (E3)   ;
;1840;(11001101) (315) (205) (CD)    ;(11110111) (367) (247) (F7)   ;(00010100) (24) (20) (14)   ;(00100001) (41) (33) (21)   ;(01111011) (173) (123) (7B)   ;(00001110) (16) (14) (0E)   ;(11100011) (343) (227) (E3)   ;(11001101) (315) (205) (CD)   ;
;1848;(11110111) (367) (247) (F7)    ;(00010100) (24) (20) (14)   ;(00100001) (41) (33) (21)   ;(10100010) (242) (162) (A2)   ;(00001110) (16) (14) (0E)   ;(11100011) (343) (227) (E3)   ;(11001101) (315) (205) (CD)   ;(11110111) (367) (247) (F7)   ;
;1856;(00010100) (24) (20) (14)    ;(00100001) (41) (33) (21)   ;(11100000) (340) (224) (E0)   ;(00001110) (16) (14) (0E)   ;(11100011) (343) (227) (E3)   ;(11001101) (315) (205) (CD)   ;(11110111) (367) (247) (F7)   ;(00010100) (24) (20) (14)   ;
;1864;(00100001) (41) (33) (21)    ;(00001110) (16) (14) (0E)   ;(00001111) (17) (15) (0F)   ;(11100011) (343) (227) (E3)   ;(11001101) (315) (205) (CD)   ;(11110111) (367) (247) (F7)   ;(00010100) (24) (20) (14)   ;(00100001) (41) (33) (21)   ;
;1872;(00111110) (76) (62) (3E)    ;(00001111) (17) (15) (0F)   ;(11100011) (343) (227) (E3)   ;(11001101) (315) (205) (CD)   ;(11110111) (367) (247) (F7)   ;(00010100) (24) (20) (14)   ;(00100001) (41) (33) (21)   ;(01010100) (124) (84) (54)   ;
;1880;(00001100) (14) (12) (0C)    ;(11100011) (343) (227) (E3)   ;(11001101) (315) (205) (CD)   ;(11110111) (367) (247) (F7)   ;(00010100) (24) (20) (14)   ;(00100001) (41) (33) (21)   ;(10000001) (201) (129) (81)   ;(00001111) (17) (15) (0F)   ;
;1888;(11100011) (343) (227) (E3)    ;(11001101) (315) (205) (CD)   ;(11110111) (367) (247) (F7)   ;(00010100) (24) (20) (14)   ;(00100001) (41) (33) (21)   ;(10111011) (273) (187) (BB)   ;(00001111) (17) (15) (0F)   ;(11100011) (343) (227) (E3)   ;
;1896;(11001101) (315) (205) (CD)    ;(11110111) (367) (247) (F7)   ;(00010100) (24) (20) (14)   ;(00100001) (41) (33) (21)   ;(01010100) (124) (84) (54)   ;(00001100) (14) (12) (0C)   ;(11100011) (343) (227) (E3)   ;(11001101) (315) (205) (CD)   ;
;1904;(11110111) (367) (247) (F7)    ;(00010100) (24) (20) (14)   ;(11110001) (361) (241) (F1)   ;(00100001) (41) (33) (21)   ;(11111010) (372) (250) (FA)   ;(00001111) (17) (15) (0F)   ;(11100101) (345) (229) (E5)   ;(11001101) (315) (205) (CD)   ;
;1912;(11110111) (367) (247) (F7)    ;(00010100) (24) (20) (14)   ;(00100001) (41) (33) (21)   ;(00110011) (63) (51) (33)   ;(00010000) (20) (16) (10)   ;(11100011) (343) (227) (E3)   ;(11001101) (315) (205) (CD)   ;(11110111) (367) (247) (F7)   ;
;1920;(00010100) (24) (20) (14)    ;(11110001) (361) (241) (F1)   ;(11001101) (315) (205) (CD)   ;(00010000) (20) (16) (10)   ;(00010100) (24) (20) (14)   ;(00101101) (55) (45) (2D)   ;(00101000) (50) (40) (28)   ;(00100001) (41) (33) (21)   ;
;1928;(11011101) (335) (221) (DD)    ;(01111110) (176) (126) (7E)   ;(11111001) (371) (249) (F9)   ;(10110111) (267) (183) (B7)   ;(00100000) (40) (32) (20)   ;(00000100) (4) (4) (04)   ;(11011101) (335) (221) (DD)   ;(00110110) (66) (54) (36)   ;
;1936;(11111001) (371) (249) (F9)    ;(00000001) (1) (1) (01)   ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(11111001) (371) (249) (F9)   ;(11110101) (365) (245) (F5)   ;(00110011) (63) (51) (33)   ;(11001101) (315) (205) (CD)   ;
;1944;(00001000) (10) (8) (08)    ;(00010100) (24) (20) (14)   ;(00110011) (63) (51) (33)   ;(00100001) (41) (33) (21)   ;(11101000) (350) (232) (E8)   ;(00000011) (3) (3) (03)   ;(11100101) (345) (229) (E5)   ;(11001101) (315) (205) (CD)   ;
;1952;(00000000) (0) (0) (00)    ;(00000001) (1) (1) (01)   ;(11110001) (361) (241) (F1)   ;(11011101) (335) (221) (DD)   ;(11001011) (313) (203) (CB)   ;(11111001) (371) (249) (F9)   ;(00100110) (46) (38) (26)   ;(00011000) (30) (24) (18)   ;
;1960;(11011001) (331) (217) (D9)    ;(10101111) (257) (175) (AF)   ;(11110101) (365) (245) (F5)   ;(00110011) (63) (51) (33)   ;(11001101) (315) (205) (CD)   ;(00001000) (10) (8) (08)   ;(00010100) (24) (20) (14)   ;(00110011) (63) (51) (33)   ;
;1968;(11001101) (315) (205) (CD)    ;(00010100) (24) (20) (14)   ;(00010100) (24) (20) (14)   ;(01001101) (115) (77) (4D)   ;(01111001) (171) (121) (79)   ;(00111101) (75) (61) (3D)   ;(00101000) (50) (40) (28)   ;(00101011) (53) (43) (2B)   ;
;1976;(01111001) (171) (121) (79)    ;(11111110) (376) (254) (FE)   ;(00000010) (2) (2) (02)   ;(00101000) (50) (40) (28)   ;(01010111) (127) (87) (57)   ;(11111110) (376) (254) (FE)   ;(00000011) (3) (3) (03)   ;(11001010) (312) (202) (CA)   ;
;1984;(01000101) (105) (69) (45)    ;(00001000) (10) (8) (08)   ;(11111110) (376) (254) (FE)   ;(00000100) (4) (4) (04)   ;(11001010) (312) (202) (CA)   ;(01110110) (166) (118) (76)   ;(00001000) (10) (8) (08)   ;(11111110) (376) (254) (FE)   ;
;1992;(00000101) (5) (5) (05)    ;(11001010) (312) (202) (CA)   ;(10010111) (227) (151) (97)   ;(00001000) (10) (8) (08)   ;(11111110) (376) (254) (FE)   ;(00000110) (6) (6) (06)   ;(11001010) (312) (202) (CA)   ;(10101111) (257) (175) (AF)   ;
;2000;(00001000) (10) (8) (08)    ;(11111110) (376) (254) (FE)   ;(00100000) (40) (32) (20)   ;(11001010) (312) (202) (CA)   ;(11001000) (310) (200) (C8)   ;(00001000) (10) (8) (08)   ;(11111110) (376) (254) (FE)   ;(01000000) (100) (64) (40)   ;
;2008;(11001010) (312) (202) (CA)    ;(01010111) (127) (87) (57)   ;(00001001) (11) (9) (09)   ;(11010110) (326) (214) (D6)   ;(10000000) (200) (128) (80)   ;(11001010) (312) (202) (CA)   ;(10011101) (235) (157) (9D)   ;(00001010) (12) (10) (0A)   ;
;2016;(11000011) (303) (195) (C3)    ;(00010000) (20) (16) (10)   ;(00000110) (6) (6) (06)   ;(00100001) (41) (33) (21)   ;(00001010) (12) (10) (0A)   ;(00000000) (0) (0) (00)   ;(11100101) (345) (229) (E5)   ;(00101110) (56) (46) (2E)   ;
;2024;(00000101) (5) (5) (05)    ;(11100101) (345) (229) (E5)   ;(11001101) (315) (205) (CD)   ;(10011111) (237) (159) (9F)   ;(00010011) (23) (19) (13)   ;(11110001) (361) (241) (F1)   ;(00100001) (41) (33) (21)   ;(01100010) (142) (98) (62)   ;
;2032;(00010000) (20) (16) (10)    ;(11100011) (343) (227) (E3)   ;(11001101) (315) (205) (CD)   ;(11110111) (367) (247) (F7)   ;(00010100) (24) (20) (14)   ;(00100001) (41) (33) (21)   ;(11011000) (330) (216) (D8)   ;(01010111) (127) (87) (57)   ;
;2040;(11100011) (343) (227) (E3)    ;(11001101) (315) (205) (CD)   ;(10010110) (226) (150) (96)   ;(00010011) (23) (19) (13)   ;(11100011) (343) (227) (E3)   ;(00100001) (41) (33) (21)   ;(11011010) (332) (218) (DA)   ;(01010111) (127) (87) (57)   ;
;2048;(11100101) (345) (229) (E5)    ;(11001101) (315) (205) (CD)   ;(10010110) (226) (150) (96)   ;(00010011) (23) (19) (13)   ;(11110001) (361) (241) (F1)   ;(11000001) (301) (193) (C1)   ;(00010001) (21) (17) (11)   ;(11001110) (316) (206) (CE)   ;
;2056;(11111111) (377) (255) (FF)    ;(00011001) (31) (25) (19)   ;(11100101) (345) (229) (E5)   ;(11000101) (305) (197) (C5)   ;(11001101) (315) (205) (CD)   ;(11000010) (302) (194) (C2)   ;(00000001) (1) (1) (01)   ;(11110001) (361) (241) (F1)   ;
;2064;(11110001) (361) (241) (F1)    ;(11000011) (303) (195) (C3)   ;(00010000) (20) (16) (10)   ;(00000110) (6) (6) (06)   ;(00100001) (41) (33) (21)   ;(00001010) (12) (10) (0A)   ;(00000000) (0) (0) (00)   ;(11100101) (345) (229) (E5)   ;
;2072;(00101110) (56) (46) (2E)    ;(00000101) (5) (5) (05)   ;(11100101) (345) (229) (E5)   ;(11001101) (315) (205) (CD)   ;(10011111) (237) (159) (9F)   ;(00010011) (23) (19) (13)   ;(11110001) (361) (241) (F1)   ;(00100001) (41) (33) (21)   ;
;2080;(01101111) (157) (111) (6F)    ;(00010000) (20) (16) (10)   ;(11100011) (343) (227) (E3)   ;(11001101) (315) (205) (CD)   ;(11110111) (367) (247) (F7)   ;(00010100) (24) (20) (14)   ;(00100001) (41) (33) (21)   ;(11010100) (324) (212) (D4)   ;
;2088;(01010111) (127) (87) (57)    ;(11100011) (343) (227) (E3)   ;(11001101) (315) (205) (CD)   ;(10010110) (226) (150) (96)   ;(00010011) (23) (19) (13)   ;(11100011) (343) (227) (E3)   ;(00100001) (41) (33) (21)   ;(11010100) (324) (212) (D4)   ;
;2096;(01010111) (127) (87) (57)    ;(11100101) (345) (229) (E5)   ;(11001101) (315) (205) (CD)   ;(10010110) (226) (150) (96)   ;(00010011) (23) (19) (13)   ;(11110001) (361) (241) (F1)   ;(11000001) (301) (193) (C1)   ;(00010001) (21) (17) (11)   ;
;2104;(11000000) (300) (192) (C0)    ;(00010010) (22) (18) (12)   ;(00011001) (31) (25) (19)   ;(11100101) (345) (229) (E5)   ;(11000101) (305) (197) (C5)   ;(11001101) (315) (205) (CD)   ;(11000010) (302) (194) (C2)   ;(00000001) (1) (1) (01)   ;
;2112;(11110001) (361) (241) (F1)    ;(11110001) (361) (241) (F1)   ;(11000011) (303) (195) (C3)   ;(00010000) (20) (16) (10)   ;(00000110) (6) (6) (06)   ;(00100001) (41) (33) (21)   ;(00001010) (12) (10) (0A)   ;(00000000) (0) (0) (00)   ;
;2120;(11100101) (345) (229) (E5)    ;(00101110) (56) (46) (2E)   ;(00000101) (5) (5) (05)   ;(11100101) (345) (229) (E5)   ;(11001101) (315) (205) (CD)   ;(10011111) (237) (159) (9F)   ;(00010011) (23) (19) (13)   ;(11110001) (361) (241) (F1)   ;
;2128;(00100001) (41) (33) (21)    ;(01111101) (175) (125) (7D)   ;(00010000) (20) (16) (10)   ;(11100011) (343) (227) (E3)   ;(11001101) (315) (205) (CD)   ;(11110111) (367) (247) (F7)   ;(00010100) (24) (20) (14)   ;(00100001) (41) (33) (21)   ;
;2136;(11010110) (326) (214) (D6)    ;(01010111) (127) (87) (57)   ;(11100011) (343) (227) (E3)   ;(11001101) (315) (205) (CD)   ;(10010110) (226) (150) (96)   ;(00010011) (23) (19) (13)   ;(11100011) (343) (227) (E3)   ;(00100001) (41) (33) (21)   ;
;2144;(11010110) (326) (214) (D6)    ;(01010111) (127) (87) (57)   ;(11100101) (345) (229) (E5)   ;(11001101) (315) (205) (CD)   ;(10010110) (226) (150) (96)   ;(00010011) (23) (19) (13)   ;(11110001) (361) (241) (F1)   ;(11000001) (301) (193) (C1)   ;
;2152;(00010001) (21) (17) (11)    ;(00000000) (0) (0) (00)   ;(00001000) (10) (8) (08)   ;(00011001) (31) (25) (19)   ;(11100101) (345) (229) (E5)   ;(11000101) (305) (197) (C5)   ;(11001101) (315) (205) (CD)   ;(11000010) (302) (194) (C2)   ;
;2160;(00000001) (1) (1) (01)    ;(11110001) (361) (241) (F1)   ;(11110001) (361) (241) (F1)   ;(11000011) (303) (195) (C3)   ;(00010000) (20) (16) (10)   ;(00000110) (6) (6) (06)   ;(00100001) (41) (33) (21)   ;(00001010) (12) (10) (0A)   ;
;2168;(00000000) (0) (0) (00)    ;(11100101) (345) (229) (E5)   ;(00101110) (56) (46) (2E)   ;(00000101) (5) (5) (05)   ;(11100101) (345) (229) (E5)   ;(11001101) (315) (205) (CD)   ;(10011111) (237) (159) (9F)   ;(00010011) (23) (19) (13)   ;
;2176;(11110001) (361) (241) (F1)    ;(00100001) (41) (33) (21)   ;(10001110) (216) (142) (8E)   ;(00010000) (20) (16) (10)   ;(11100011) (343) (227) (E3)   ;(11001101) (315) (205) (CD)   ;(11110111) (367) (247) (F7)   ;(00010100) (24) (20) (14)   ;
;2184;(00100001) (41) (33) (21)    ;(11010110) (326) (214) (D6)   ;(01010111) (127) (87) (57)   ;(11100011) (343) (227) (E3)   ;(11001101) (315) (205) (CD)   ;(10010110) (226) (150) (96)   ;(00010011) (23) (19) (13)   ;(11100011) (343) (227) (E3)   ;
;2192;(11001101) (315) (205) (CD)    ;(10000011) (203) (131) (83)   ;(00000010) (2) (2) (02)   ;(11110001) (361) (241) (F1)   ;(11000011) (303) (195) (C3)   ;(00010000) (20) (16) (10)   ;(00000110) (6) (6) (06)   ;(00111110) (76) (62) (3E)   ;
;2200;(00000001) (1) (1) (01)    ;(11110101) (365) (245) (F5)   ;(00110011) (63) (51) (33)   ;(11001101) (315) (205) (CD)   ;(01001100) (114) (76) (4C)   ;(00010100) (24) (20) (14)   ;(00110011) (63) (51) (33)   ;(11001101) (315) (205) (CD)   ;
;2208;(11110101) (365) (245) (F5)    ;(00000011) (3) (3) (03)   ;(11001101) (315) (205) (CD)   ;(10110011) (263) (179) (B3)   ;(00000100) (4) (4) (04)   ;(10101111) (257) (175) (AF)   ;(11110101) (365) (245) (F5)   ;(00110011) (63) (51) (33)   ;
;2216;(11001101) (315) (205) (CD)    ;(01001100) (114) (76) (4C)   ;(00010100) (24) (20) (14)   ;(00110011) (63) (51) (33)   ;(11000011) (303) (195) (C3)   ;(00010000) (20) (16) (10)   ;(00000110) (6) (6) (06)   ;(00100001) (41) (33) (21)   ;
;2224;(00001010) (12) (10) (0A)    ;(00000000) (0) (0) (00)   ;(11100101) (345) (229) (E5)   ;(00101110) (56) (46) (2E)   ;(00000101) (5) (5) (05)   ;(11100101) (345) (229) (E5)   ;(11001101) (315) (205) (CD)   ;(10011111) (237) (159) (9F)   ;
;2232;(00010011) (23) (19) (13)    ;(11110001) (361) (241) (F1)   ;(00100001) (41) (33) (21)   ;(10101100) (254) (172) (AC)   ;(00010000) (20) (16) (10)   ;(11100011) (343) (227) (E3)   ;(11001101) (315) (205) (CD)   ;(11110111) (367) (247) (F7)   ;
;2240;(00010100) (24) (20) (14)    ;(11110001) (361) (241) (F1)   ;(11001101) (315) (205) (CD)   ;(00111010) (72) (58) (3A)   ;(00000101) (5) (5) (05)   ;(11000011) (303) (195) (C3)   ;(00010000) (20) (16) (10)   ;(00000110) (6) (6) (06)   ;
;2248;(00100001) (41) (33) (21)    ;(11000100) (304) (196) (C4)   ;(00010000) (20) (16) (10)   ;(11100101) (345) (229) (E5)   ;(11001101) (315) (205) (CD)   ;(11110111) (367) (247) (F7)   ;(00010100) (24) (20) (14)   ;(11110001) (361) (241) (F1)   ;
;2256;(00100001) (41) (33) (21)    ;(00001010) (12) (10) (0A)   ;(00000000) (0) (0) (00)   ;(11100101) (345) (229) (E5)   ;(00101110) (56) (46) (2E)   ;(00001010) (12) (10) (0A)   ;(11100101) (345) (229) (E5)   ;(11001101) (315) (205) (CD)   ;
;2264;(10011111) (237) (159) (9F)    ;(00010011) (23) (19) (13)   ;(11110001) (361) (241) (F1)   ;(00100001) (41) (33) (21)   ;(00000011) (3) (3) (03)   ;(00010001) (21) (17) (11)   ;(11100011) (343) (227) (E3)   ;(11001101) (315) (205) (CD)   ;
;2272;(11110111) (367) (247) (F7)    ;(00010100) (24) (20) (14)   ;(11110001) (361) (241) (F1)   ;(00100001) (41) (33) (21)   ;(00001011) (13) (11) (0B)   ;(00000000) (0) (0) (00)   ;(11100101) (345) (229) (E5)   ;(00101110) (56) (46) (2E)   ;
;2280;(00001010) (12) (10) (0A)    ;(11100101) (345) (229) (E5)   ;(11001101) (315) (205) (CD)   ;(10011111) (237) (159) (9F)   ;(00010011) (23) (19) (13)   ;(11110001) (361) (241) (F1)   ;(00100001) (41) (33) (21)   ;(00110101) (65) (53) (35)   ;
;2288;(00010001) (21) (17) (11)    ;(11100011) (343) (227) (E3)   ;(11001101) (315) (205) (CD)   ;(11110111) (367) (247) (F7)   ;(00010100) (24) (20) (14)   ;(11110001) (361) (241) (F1)   ;(00100001) (41) (33) (21)   ;(00001100) (14) (12) (0C)   ;
;2296;(00000000) (0) (0) (00)    ;(11100101) (345) (229) (E5)   ;(00101110) (56) (46) (2E)   ;(00001010) (12) (10) (0A)   ;(11100101) (345) (229) (E5)   ;(11001101) (315) (205) (CD)   ;(10011111) (237) (159) (9F)   ;(00010011) (23) (19) (13)   ;
;2304;(11110001) (361) (241) (F1)    ;(00100001) (41) (33) (21)   ;(01100111) (147) (103) (67)   ;(00010001) (21) (17) (11)   ;(11100011) (343) (227) (E3)   ;(11001101) (315) (205) (CD)   ;(11110111) (367) (247) (F7)   ;(00010100) (24) (20) (14)   ;
;2312;(00100001) (41) (33) (21)    ;(10011001) (231) (153) (99)   ;(00010001) (21) (17) (11)   ;(11100011) (343) (227) (E3)   ;(11001101) (315) (205) (CD)   ;(11110111) (367) (247) (F7)   ;(00010100) (24) (20) (14)   ;(00100001) (41) (33) (21)   ;
;2320;(10100010) (242) (162) (A2)    ;(00010001) (21) (17) (11)   ;(11100011) (343) (227) (E3)   ;(11001101) (315) (205) (CD)   ;(11110111) (367) (247) (F7)   ;(00010100) (24) (20) (14)   ;(00100001) (41) (33) (21)   ;(11010000) (320) (208) (D0)   ;
;2328;(01010111) (127) (87) (57)    ;(11100011) (343) (227) (E3)   ;(11001101) (315) (205) (CD)   ;(10000011) (203) (131) (83)   ;(00010011) (23) (19) (13)   ;(11110001) (361) (241) (F1)   ;(01000101) (105) (69) (45)   ;(11000101) (305) (197) (C5)   ;
;2336;(00110011) (63) (51) (33)    ;(11001101) (315) (205) (CD)   ;(00101000) (50) (40) (28)   ;(00010100) (24) (20) (14)   ;(00110011) (63) (51) (33)   ;(00100001) (41) (33) (21)   ;(11010001) (321) (209) (D1)   ;(01010111) (127) (87) (57)   ;
;2344;(11100101) (345) (229) (E5)    ;(11001101) (315) (205) (CD)   ;(10000011) (203) (131) (83)   ;(00010011) (23) (19) (13)   ;(11110001) (361) (241) (F1)   ;(01000101) (105) (69) (45)   ;(11000101) (305) (197) (C5)   ;(00110011) (63) (51) (33)   ;
;2352;(11001101) (315) (205) (CD)    ;(00110000) (60) (48) (30)   ;(00010100) (24) (20) (14)   ;(00110011) (63) (51) (33)   ;(00100001) (41) (33) (21)   ;(11001011) (313) (203) (CB)   ;(00010001) (21) (17) (11)   ;(11100101) (345) (229) (E5)   ;
;2360;(11001101) (315) (205) (CD)    ;(11110111) (367) (247) (F7)   ;(00010100) (24) (20) (14)   ;(00100001) (41) (33) (21)   ;(11010010) (322) (210) (D2)   ;(00010001) (21) (17) (11)   ;(11100011) (343) (227) (E3)   ;(11001101) (315) (205) (CD)   ;
;2368;(11110111) (367) (247) (F7)    ;(00010100) (24) (20) (14)   ;(00100001) (41) (33) (21)   ;(11011001) (331) (217) (D9)   ;(00010001) (21) (17) (11)   ;(11100011) (343) (227) (E3)   ;(11001101) (315) (205) (CD)   ;(11110111) (367) (247) (F7)   ;
;2376;(00010100) (24) (20) (14)    ;(00100001) (41) (33) (21)   ;(11100001) (341) (225) (E1)   ;(00010001) (21) (17) (11)   ;(11100011) (343) (227) (E3)   ;(11001101) (315) (205) (CD)   ;(11110111) (367) (247) (F7)   ;(00010100) (24) (20) (14)   ;
;2384;(11110001) (361) (241) (F1)    ;(11001101) (315) (205) (CD)   ;(01011110) (136) (94) (5E)   ;(00010011) (23) (19) (13)   ;(11000011) (303) (195) (C3)   ;(00010000) (20) (16) (10)   ;(00000110) (6) (6) (06)   ;(11001101) (315) (205) (CD)   ;
;2392;(01011110) (136) (94) (5E)    ;(00010011) (23) (19) (13)   ;(00100001) (41) (33) (21)   ;(00000010) (2) (2) (02)   ;(00000000) (0) (0) (00)   ;(11100101) (345) (229) (E5)   ;(00101110) (56) (46) (2E)   ;(00000101) (5) (5) (05)   ;
;2400;(11100101) (345) (229) (E5)    ;(11001101) (315) (205) (CD)   ;(10011111) (237) (159) (9F)   ;(00010011) (23) (19) (13)   ;(11110001) (361) (241) (F1)   ;(00100001) (41) (33) (21)   ;(11101000) (350) (232) (E8)   ;(00010001) (21) (17) (11)   ;
;2408;(11100011) (343) (227) (E3)    ;(11001101) (315) (205) (CD)   ;(11110111) (367) (247) (F7)   ;(00010100) (24) (20) (14)   ;(11110001) (361) (241) (F1)   ;(00100001) (41) (33) (21)   ;(00000011) (3) (3) (03)   ;(00000000) (0) (0) (00)   ;
;2416;(11100101) (345) (229) (E5)    ;(00101110) (56) (46) (2E)   ;(00000101) (5) (5) (05)   ;(11100101) (345) (229) (E5)   ;(11001101) (315) (205) (CD)   ;(10011111) (237) (159) (9F)   ;(00010011) (23) (19) (13)   ;(11110001) (361) (241) (F1)   ;
;2424;(00100001) (41) (33) (21)    ;(00101101) (55) (45) (2D)   ;(00010010) (22) (18) (12)   ;(11100011) (343) (227) (E3)   ;(11001101) (315) (205) (CD)   ;(11110111) (367) (247) (F7)   ;(00010100) (24) (20) (14)   ;(00100001) (41) (33) (21)   ;
;2432;(11011000) (330) (216) (D8)    ;(01010111) (127) (87) (57)   ;(11100011) (343) (227) (E3)   ;(11001101) (315) (205) (CD)   ;(10010110) (226) (150) (96)   ;(00010011) (23) (19) (13)   ;(11110001) (361) (241) (F1)   ;(11011101) (335) (221) (DD)   ;
;2440;(01110101) (165) (117) (75)    ;(11111110) (376) (254) (FE)   ;(11011101) (335) (221) (DD)   ;(01110100) (164) (116) (74)   ;(11111111) (377) (255) (FF)   ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(11111110) (376) (254) (FE)   ;
;2448;(11000110) (306) (198) (C6)    ;(00011101) (35) (29) (1D)   ;(11011101) (335) (221) (DD)   ;(01110111) (167) (119) (77)   ;(11111100) (374) (252) (FC)   ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(11111111) (377) (255) (FF)   ;
;2456;(11001110) (316) (206) (CE)    ;(00000000) (0) (0) (00)   ;(11011101) (335) (221) (DD)   ;(01110111) (167) (119) (77)   ;(11111101) (375) (253) (FD)   ;(00010001) (21) (17) (11)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;2464;(01001011) (113) (75) (4B)    ;(01101011) (153) (107) (6B)   ;(01100010) (142) (98) (62)   ;(11100101) (345) (229) (E5)   ;(11011101) (335) (221) (DD)   ;(01101110) (156) (110) (6E)   ;(11111110) (376) (254) (FE)   ;(11011101) (335) (221) (DD)   ;
;2472;(01100110) (146) (102) (66)    ;(11111111) (377) (255) (FF)   ;(11100101) (345) (229) (E5)   ;(11111101) (375) (253) (FD)   ;(11100001) (341) (225) (E1)   ;(11100001) (341) (225) (E1)   ;(11000101) (305) (197) (C5)   ;(01001101) (115) (77) (4D)   ;
;2480;(01000100) (104) (68) (44)    ;(11111101) (375) (253) (FD)   ;(00001001) (11) (9) (09)   ;(11000001) (301) (193) (C1)   ;(11100101) (345) (229) (E5)   ;(11010101) (325) (213) (D5)   ;(01111001) (171) (121) (79)   ;(11110101) (365) (245) (F5)   ;
;2488;(00110011) (63) (51) (33)    ;(11111101) (375) (253) (FD)   ;(11100101) (345) (229) (E5)   ;(11001101) (315) (205) (CD)   ;(01110100) (164) (116) (74)   ;(00010011) (23) (19) (13)   ;(11110001) (361) (241) (F1)   ;(00110011) (63) (51) (33)   ;
;2496;(11010001) (321) (209) (D1)    ;(11100001) (341) (225) (E1)   ;(01001011) (113) (75) (4B)   ;(00111110) (76) (62) (3E)   ;(00001001) (11) (9) (09)   ;(10010001) (221) (145) (91)   ;(01001111) (117) (79) (4F)   ;(11011101) (335) (221) (DD)   ;
;2504;(01111110) (176) (126) (7E)    ;(11111100) (374) (252) (FC)   ;(10010101) (225) (149) (95)   ;(01101111) (157) (111) (6F)   ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(11111101) (375) (253) (FD)   ;(10011100) (234) (156) (9C)   ;
;2512;(01100111) (147) (103) (67)    ;(11010101) (325) (213) (D5)   ;(01111001) (171) (121) (79)   ;(11110101) (365) (245) (F5)   ;(00110011) (63) (51) (33)   ;(11100101) (345) (229) (E5)   ;(11001101) (315) (205) (CD)   ;(01110100) (164) (116) (74)   ;
;2520;(00010011) (23) (19) (13)    ;(11110001) (361) (241) (F1)   ;(00110011) (63) (51) (33)   ;(11010001) (321) (209) (D1)   ;(00010011) (23) (19) (13)   ;(01111011) (173) (123) (7B)   ;(11010110) (326) (214) (D6)   ;(00001010) (12) (10) (0A)   ;
;2528;(01111010) (172) (122) (7A)    ;(00010111) (27) (23) (17)   ;(00111111) (77) (63) (3F)   ;(00011111) (37) (31) (1F)   ;(11011110) (336) (222) (DE)   ;(10000000) (200) (128) (80)   ;(00111000) (70) (56) (38)   ;(10111000) (270) (184) (B8)   ;
;2536;(00100001) (41) (33) (21)    ;(00000101) (5) (5) (05)   ;(00000000) (0) (0) (00)   ;(11100101) (345) (229) (E5)   ;(00101110) (56) (46) (2E)   ;(00000101) (5) (5) (05)   ;(11100101) (345) (229) (E5)   ;(11001101) (315) (205) (CD)   ;
;2544;(10011111) (237) (159) (9F)    ;(00010011) (23) (19) (13)   ;(11110001) (361) (241) (F1)   ;(00100001) (41) (33) (21)   ;(01011010) (132) (90) (5A)   ;(00010010) (22) (18) (12)   ;(11100011) (343) (227) (E3)   ;(11001101) (315) (205) (CD)   ;
;2552;(11110111) (367) (247) (F7)    ;(00010100) (24) (20) (14)   ;(11110001) (361) (241) (F1)   ;(00100001) (41) (33) (21)   ;(00000101) (5) (5) (05)   ;(00000000) (0) (0) (00)   ;(11100101) (345) (229) (E5)   ;(00101110) (56) (46) (2E)   ;
;2560;(00101000) (50) (40) (28)    ;(11100101) (345) (229) (E5)   ;(11001101) (315) (205) (CD)   ;(10011111) (237) (159) (9F)   ;(00010011) (23) (19) (13)   ;(11110001) (361) (241) (F1)   ;(00100001) (41) (33) (21)   ;(01101010) (152) (106) (6A)   ;
;2568;(00010010) (22) (18) (12)    ;(11100011) (343) (227) (E3)   ;(11001101) (315) (205) (CD)   ;(11110111) (367) (247) (F7)   ;(00010100) (24) (20) (14)   ;(11110001) (361) (241) (F1)   ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;
;2576;(11111110) (376) (254) (FE)    ;(11000110) (306) (198) (C6)   ;(00010100) (24) (20) (14)   ;(11011101) (335) (221) (DD)   ;(01110111) (167) (119) (77)   ;(11111100) (374) (252) (FC)   ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;
;2584;(11111111) (377) (255) (FF)    ;(11001110) (316) (206) (CE)   ;(00000000) (0) (0) (00)   ;(11011101) (335) (221) (DD)   ;(01110111) (167) (119) (77)   ;(11111101) (375) (253) (FD)   ;(00010001) (21) (17) (11)   ;(00000000) (0) (0) (00)   ;
;2592;(00000000) (0) (0) (00)    ;(00100001) (41) (33) (21)   ;(00000110) (6) (6) (06)   ;(00000000) (0) (0) (00)   ;(00011001) (31) (25) (19)   ;(11011101) (335) (221) (DD)   ;(01110101) (165) (117) (75)   ;(11111010) (372) (250) (FA)   ;
;2600;(11011101) (335) (221) (DD)    ;(01110100) (164) (116) (74)   ;(11111011) (373) (251) (FB)   ;(11010101) (325) (213) (D5)   ;(11011101) (335) (221) (DD)   ;(01101110) (156) (110) (6E)   ;(11111010) (372) (250) (FA)   ;(11011101) (335) (221) (DD)   ;
;2608;(01100110) (146) (102) (66)    ;(11111011) (373) (251) (FB)   ;(11100101) (345) (229) (E5)   ;(00100001) (41) (33) (21)   ;(00000101) (5) (5) (05)   ;(00000000) (0) (0) (00)   ;(11100101) (345) (229) (E5)   ;(11001101) (315) (205) (CD)   ;
;2616;(10011111) (237) (159) (9F)    ;(00010011) (23) (19) (13)   ;(11110001) (361) (241) (F1)   ;(11110001) (361) (241) (F1)   ;(11010001) (321) (209) (D1)   ;(01001011) (113) (75) (4B)   ;(01000010) (102) (66) (42)   ;(11011101) (335) (221) (DD)   ;
;2624;(01101110) (156) (110) (6E)    ;(11111110) (376) (254) (FE)   ;(11011101) (335) (221) (DD)   ;(01100110) (146) (102) (66)   ;(11111111) (377) (255) (FF)   ;(00001001) (11) (9) (09)   ;(11000101) (305) (197) (C5)   ;(11010101) (325) (213) (D5)   ;
;2632;(11100101) (345) (229) (E5)    ;(11001101) (315) (205) (CD)   ;(10000011) (203) (131) (83)   ;(00010011) (23) (19) (13)   ;(11110001) (361) (241) (F1)   ;(11010001) (321) (209) (D1)   ;(11000001) (301) (193) (C1)   ;(00100110) (46) (38) (26)   ;
;2640;(00000000) (0) (0) (00)    ;(11000101) (305) (197) (C5)   ;(11010101) (325) (213) (D5)   ;(11100101) (345) (229) (E5)   ;(00100001) (41) (33) (21)   ;(01111010) (172) (122) (7A)   ;(00010010) (22) (18) (12)   ;(11100101) (345) (229) (E5)   ;
;2648;(11001101) (315) (205) (CD)    ;(11110111) (367) (247) (F7)   ;(00010100) (24) (20) (14)   ;(11110001) (361) (241) (F1)   ;(11110001) (361) (241) (F1)   ;(11011101) (335) (221) (DD)   ;(01101110) (156) (110) (6E)   ;(11111010) (372) (250) (FA)   ;
;2656;(11011101) (335) (221) (DD)    ;(01100110) (146) (102) (66)   ;(11111011) (373) (251) (FB)   ;(11100101) (345) (229) (E5)   ;(00100001) (41) (33) (21)   ;(00101000) (50) (40) (28)   ;(00000000) (0) (0) (00)   ;(11100101) (345) (229) (E5)   ;
;2664;(11001101) (315) (205) (CD)    ;(10011111) (237) (159) (9F)   ;(00010011) (23) (19) (13)   ;(11110001) (361) (241) (F1)   ;(11110001) (361) (241) (F1)   ;(11010001) (321) (209) (D1)   ;(11000001) (301) (193) (C1)   ;(11011101) (335) (221) (DD)   ;
;2672;(01101110) (156) (110) (6E)    ;(11111100) (374) (252) (FC)   ;(11011101) (335) (221) (DD)   ;(01100110) (146) (102) (66)   ;(11111101) (375) (253) (FD)   ;(00001001) (11) (9) (09)   ;(11010101) (325) (213) (D5)   ;(11100101) (345) (229) (E5)   ;
;2680;(11001101) (315) (205) (CD)    ;(10000011) (203) (131) (83)   ;(00010011) (23) (19) (13)   ;(11110001) (361) (241) (F1)   ;(11010001) (321) (209) (D1)   ;(00100110) (46) (38) (26)   ;(00000000) (0) (0) (00)   ;(11010101) (325) (213) (D5)   ;
;2688;(11100101) (345) (229) (E5)    ;(00100001) (41) (33) (21)   ;(01111010) (172) (122) (7A)   ;(00010010) (22) (18) (12)   ;(11100101) (345) (229) (E5)   ;(11001101) (315) (205) (CD)   ;(11110111) (367) (247) (F7)   ;(00010100) (24) (20) (14)   ;
;2696;(11110001) (361) (241) (F1)    ;(11110001) (361) (241) (F1)   ;(11010001) (321) (209) (D1)   ;(00010011) (23) (19) (13)   ;(01111011) (173) (123) (7B)   ;(11010110) (326) (214) (D6)   ;(00001010) (12) (10) (0A)   ;(01111010) (172) (122) (7A)   ;
;2704;(00010111) (27) (23) (17)    ;(00111111) (77) (63) (3F)   ;(00011111) (37) (31) (1F)   ;(11011110) (336) (222) (DE)   ;(10000000) (200) (128) (80)   ;(00111000) (70) (56) (38)   ;(10001010) (212) (138) (8A)   ;(11001101) (315) (205) (CD)   ;
;2712;(01011110) (136) (94) (5E)    ;(00010011) (23) (19) (13)   ;(11000011) (303) (195) (C3)   ;(00010000) (20) (16) (10)   ;(00000110) (6) (6) (06)   ;(00100001) (41) (33) (21)   ;(01111101) (175) (125) (7D)   ;(00010010) (22) (18) (12)   ;
;2720;(11100101) (345) (229) (E5)    ;(11001101) (315) (205) (CD)   ;(11110111) (367) (247) (F7)   ;(00010100) (24) (20) (14)   ;(11110001) (361) (241) (F1)   ;(00100001) (41) (33) (21)   ;(00000101) (5) (5) (05)   ;(00000000) (0) (0) (00)   ;
;2728;(11100101) (345) (229) (E5)    ;(00101110) (56) (46) (2E)   ;(00000101) (5) (5) (05)   ;(11100101) (345) (229) (E5)   ;(11001101) (315) (205) (CD)   ;(10011111) (237) (159) (9F)   ;(00010011) (23) (19) (13)   ;(11110001) (361) (241) (F1)   ;
;2736;(11110001) (361) (241) (F1)    ;(00000001) (1) (1) (01)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(11000101) (305) (197) (C5)   ;(00100001) (41) (33) (21)   ;(11001001) (311) (201) (C9)   ;(01010111) (127) (87) (57)   ;
;2744;(11100101) (345) (229) (E5)    ;(11001101) (315) (205) (CD)   ;(10010110) (226) (150) (96)   ;(00010011) (23) (19) (13)   ;(11100011) (343) (227) (E3)   ;(00100001) (41) (33) (21)   ;(11010011) (323) (211) (D3)   ;(00010010) (22) (18) (12)   ;
;2752;(11100101) (345) (229) (E5)    ;(11001101) (315) (205) (CD)   ;(11110111) (367) (247) (F7)   ;(00010100) (24) (20) (14)   ;(11110001) (361) (241) (F1)   ;(11110001) (361) (241) (F1)   ;(11000001) (301) (193) (C1)   ;(00000011) (3) (3) (03)   ;
;2760;(01111001) (171) (121) (79)    ;(11010110) (326) (214) (D6)   ;(11010000) (320) (208) (D0)   ;(01111000) (170) (120) (78)   ;(00010111) (27) (23) (17)   ;(00111111) (77) (63) (3F)   ;(00011111) (37) (31) (1F)   ;(11011110) (336) (222) (DE)   ;
;2768;(10000111) (207) (135) (87)    ;(00111000) (70) (56) (38)   ;(11100001) (341) (225) (E1)   ;(11001101) (315) (205) (CD)   ;(01011110) (136) (94) (5E)   ;(00010011) (23) (19) (13)   ;(11000011) (303) (195) (C3)   ;(00010000) (20) (16) (10)   ;
;2776;(00000110) (6) (6) (06)    ;(01010100) (124) (84) (54)   ;(01100101) (145) (101) (65)   ;(01110011) (163) (115) (73)   ;(01110100) (164) (116) (74)   ;(01010011) (123) (83) (53)   ;(01111001) (171) (121) (79)   ;(01110011) (163) (115) (73)   ;
;2784;(00100000) (40) (32) (20)    ;(00101101) (55) (45) (2D)   ;(00100000) (40) (32) (20)   ;(01000001) (101) (65) (41)   ;(00100000) (40) (32) (20)   ;(01101000) (150) (104) (68)   ;(01100001) (141) (97) (61)   ;(01110010) (162) (114) (72)   ;
;2792;(01100100) (144) (100) (64)    ;(01110111) (167) (119) (77)   ;(01100001) (141) (97) (61)   ;(01110010) (162) (114) (72)   ;(01100101) (145) (101) (65)   ;(00100000) (40) (32) (20)   ;(01110100) (164) (116) (74)   ;(01100101) (145) (101) (65)   ;
;2800;(01110011) (163) (115) (73)    ;(01110100) (164) (116) (74)   ;(00100000) (40) (32) (20)   ;(01110000) (160) (112) (70)   ;(01110010) (162) (114) (72)   ;(01101111) (157) (111) (6F)   ;(01100111) (147) (103) (67)   ;(01110010) (162) (114) (72)   ;
;2808;(01100001) (141) (97) (61)    ;(01101101) (155) (109) (6D)   ;(00100000) (40) (32) (20)   ;(01100110) (146) (102) (66)   ;(01101111) (157) (111) (6F)   ;(01110010) (162) (114) (72)   ;(00100000) (40) (32) (20)   ;(01110100) (164) (116) (74)   ;
;2816;(01101000) (150) (104) (68)    ;(01100101) (145) (101) (65)   ;(00100000) (40) (32) (20)   ;(01011010) (132) (90) (5A)   ;(00111000) (70) (56) (38)   ;(00110000) (60) (48) (30)   ;(01010011) (123) (83) (53)   ;(01101111) (157) (111) (6F)   ;
;2824;(01000011) (103) (67) (43)    ;(00000000) (0) (0) (00)   ;(01010010) (122) (82) (52)   ;(01110101) (165) (117) (75)   ;(01101110) (156) (110) (6E)   ;(01101110) (156) (110) (6E)   ;(01101001) (151) (105) (69)   ;(01101110) (156) (110) (6E)   ;
;2832;(01100111) (147) (103) (67)    ;(00100000) (40) (32) (20)   ;(01101111) (157) (111) (6F)   ;(01101110) (156) (110) (6E)   ;(00100000) (40) (32) (20)   ;(01010000) (120) (80) (50)   ;(01101100) (154) (108) (6C)   ;(01100001) (141) (97) (61)   ;
;2840;(01110100) (164) (116) (74)    ;(01100110) (146) (102) (66)   ;(01101111) (157) (111) (6F)   ;(01110010) (162) (114) (72)   ;(01101101) (155) (109) (6D)   ;(00100000) (40) (32) (20)   ;(00100101) (45) (37) (25)   ;(01110101) (165) (117) (75)   ;
;2848;(00000000) (0) (0) (00)    ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(01000011) (103) (67) (43)   ;(01001111) (117) (79) (4F)   ;
;2856;(01001110) (116) (78) (4E)    ;(01010100) (124) (84) (54)   ;(01010010) (122) (82) (52)   ;(01001111) (117) (79) (4F)   ;(01001100) (114) (76) (4C)   ;(01010011) (123) (83) (53)   ;(00001010) (12) (10) (0A)   ;(00000000) (0) (0) (00)   ;
;2864;(00100000) (40) (32) (20)    ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00111101) (75) (61) (3D)   ;(00111101) (75) (61) (3D)   ;(00111101) (75) (61) (3D)   ;
;2872;(00111101) (75) (61) (3D)    ;(00111101) (75) (61) (3D)   ;(00111101) (75) (61) (3D)   ;(00111101) (75) (61) (3D)   ;(00111101) (75) (61) (3D)   ;(00001010) (12) (10) (0A)   ;(00001010) (12) (10) (0A)   ;(00000000) (0) (0) (00)   ;
;2880;(00100000) (40) (32) (20)    ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(01010011) (123) (83) (53)   ;(01110111) (167) (119) (77)   ;(01101001) (151) (105) (69)   ;
;2888;(01110100) (164) (116) (74)    ;(01100011) (143) (99) (63)   ;(01101000) (150) (104) (68)   ;(00100000) (40) (32) (20)   ;(00111001) (71) (57) (39)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;
;2896;(00100000) (40) (32) (20)    ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00111101) (75) (61) (3D)   ;(00111101) (75) (61) (3D)   ;(00111110) (76) (62) (3E)   ;(00100000) (40) (32) (20)   ;(01010010) (122) (82) (52)   ;
;2904;(01100101) (145) (101) (65)    ;(01110011) (163) (115) (73)   ;(01100101) (145) (101) (65)   ;(01110100) (164) (116) (74)   ;(00001010) (12) (10) (0A)   ;(00000000) (0) (0) (00)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;
;2912;(00100000) (40) (32) (20)    ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(01010011) (123) (83) (53)   ;(01110111) (167) (119) (77)   ;(01101001) (151) (105) (69)   ;(01110100) (164) (116) (74)   ;(01100011) (143) (99) (63)   ;
;2920;(01101000) (150) (104) (68)    ;(00100000) (40) (32) (20)   ;(00111000) (70) (56) (38)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;
;2928;(00100000) (40) (32) (20)    ;(00111101) (75) (61) (3D)   ;(00111101) (75) (61) (3D)   ;(00111110) (76) (62) (3E)   ;(00100000) (40) (32) (20)   ;(00110011) (63) (51) (33)   ;(00101110) (56) (46) (2E)   ;(00110101) (65) (53) (35)   ;
;2936;(00110111) (67) (55) (37)    ;(01001101) (115) (77) (4D)   ;(01101000) (150) (104) (68)   ;(00100000) (40) (32) (20)   ;(00101111) (57) (47) (2F)   ;(00100000) (40) (32) (20)   ;(00110001) (61) (49) (31)   ;(00110000) (60) (48) (30)   ;
;2944;(01001101) (115) (77) (4D)    ;(01101000) (150) (104) (68)   ;(01111010) (172) (122) (7A)   ;(00001010) (12) (10) (0A)   ;(00000000) (0) (0) (00)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;
;2952;(00100000) (40) (32) (20)    ;(00100000) (40) (32) (20)   ;(01010011) (123) (83) (53)   ;(01110111) (167) (119) (77)   ;(01101001) (151) (105) (69)   ;(01110100) (164) (116) (74)   ;(01100011) (143) (99) (63)   ;(01101000) (150) (104) (68)   ;
;2960;(00100000) (40) (32) (20)    ;(00110001) (61) (49) (31)   ;(00110111) (67) (55) (37)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;
;2968;(00111101) (75) (61) (3D)    ;(00111101) (75) (61) (3D)   ;(00111110) (76) (62) (3E)   ;(00100000) (40) (32) (20)   ;(01010010) (122) (82) (52)   ;(01100101) (145) (101) (65)   ;(01110011) (163) (115) (73)   ;(01100101) (145) (101) (65)   ;
;2976;(01110100) (164) (116) (74)    ;(00001010) (12) (10) (0A)   ;(00000000) (0) (0) (00)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;
;2984;(01010011) (123) (83) (53)    ;(01110111) (167) (119) (77)   ;(01101001) (151) (105) (69)   ;(01110100) (164) (116) (74)   ;(01100011) (143) (99) (63)   ;(01101000) (150) (104) (68)   ;(00100000) (40) (32) (20)   ;(00110001) (61) (49) (31)   ;
;2992;(00110110) (66) (54) (36)    ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00111101) (75) (61) (3D)   ;(00111101) (75) (61) (3D)   ;
;3000;(00111110) (76) (62) (3E)    ;(00100000) (40) (32) (20)   ;(00110011) (63) (51) (33)   ;(00101110) (56) (46) (2E)   ;(00110101) (65) (53) (35)   ;(00110111) (67) (55) (37)   ;(01001101) (115) (77) (4D)   ;(01101000) (150) (104) (68)   ;
;3008;(00100000) (40) (32) (20)    ;(00101111) (57) (47) (2F)   ;(00100000) (40) (32) (20)   ;(00110001) (61) (49) (31)   ;(00110000) (60) (48) (30)   ;(01001101) (115) (77) (4D)   ;(01101000) (150) (104) (68)   ;(01111010) (172) (122) (7A)   ;
;3016;(00001010) (12) (10) (0A)    ;(00000000) (0) (0) (00)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(01010010) (122) (82) (52)   ;
;3024;(01101111) (157) (111) (6F)    ;(01110100) (164) (116) (74)   ;(01100001) (141) (97) (61)   ;(01110010) (162) (114) (72)   ;(01111001) (171) (121) (79)   ;(00100000) (40) (32) (20)   ;(01010000) (120) (80) (50)   ;(01110101) (165) (117) (75)   ;
;3032;(01110100) (164) (116) (74)    ;(01110100) (164) (116) (74)   ;(01101111) (157) (111) (6F)   ;(01101110) (156) (110) (6E)   ;(00100000) (40) (32) (20)   ;(00111101) (75) (61) (3D)   ;(00111101) (75) (61) (3D)   ;(00111110) (76) (62) (3E)   ;
;3040;(00100000) (40) (32) (20)    ;(01010010) (122) (82) (52)   ;(01100101) (145) (101) (65)   ;(01110011) (163) (115) (73)   ;(01100101) (145) (101) (65)   ;(01110100) (164) (116) (74)   ;(00001010) (12) (10) (0A)   ;(00000000) (0) (0) (00)   ;
;3048;(00100000) (40) (32) (20)    ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(01010011) (123) (83) (53)   ;(01110111) (167) (119) (77)   ;(01101001) (151) (105) (69)   ;
;3056;(01110100) (164) (116) (74)    ;(01100011) (143) (99) (63)   ;(01101000) (150) (104) (68)   ;(00100000) (40) (32) (20)   ;(00110011) (63) (51) (33)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;
;3064;(00100000) (40) (32) (20)    ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00111101) (75) (61) (3D)   ;(00111101) (75) (61) (3D)   ;(00111110) (76) (62) (3E)   ;(00100000) (40) (32) (20)   ;(00110011) (63) (51) (33)   ;
;3072;(00101110) (56) (46) (2E)    ;(00110101) (65) (53) (35)   ;(00110111) (67) (55) (37)   ;(01001101) (115) (77) (4D)   ;(01101000) (150) (104) (68)   ;(00100000) (40) (32) (20)   ;(00101111) (57) (47) (2F)   ;(00100000) (40) (32) (20)   ;
;3080;(00110001) (61) (49) (31)    ;(00110000) (60) (48) (30)   ;(01001101) (115) (77) (4D)   ;(01101000) (150) (104) (68)   ;(01111010) (172) (122) (7A)   ;(00001010) (12) (10) (0A)   ;(00000000) (0) (0) (00)   ;(00100000) (40) (32) (20)   ;
;3088;(00100000) (40) (32) (20)    ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(01001110) (116) (78) (4E)   ;(01101111) (157) (111) (6F)   ;(01110010) (162) (114) (72)   ;(01110100) (164) (116) (74)   ;
;3096;(01101000) (150) (104) (68)    ;(00100000) (40) (32) (20)   ;(01000010) (102) (66) (42)   ;(01110101) (165) (117) (75)   ;(01110100) (164) (116) (74)   ;(01110100) (164) (116) (74)   ;(01101111) (157) (111) (6F)   ;(01101110) (156) (110) (6E)   ;
;3104;(00100000) (40) (32) (20)    ;(00100000) (40) (32) (20)   ;(00111101) (75) (61) (3D)   ;(00111101) (75) (61) (3D)   ;(00111110) (76) (62) (3E)   ;(00100000) (40) (32) (20)   ;(01010010) (122) (82) (52)   ;(01100101) (145) (101) (65)   ;
;3112;(01110011) (163) (115) (73)    ;(01100101) (145) (101) (65)   ;(01110100) (164) (116) (74)   ;(00001010) (12) (10) (0A)   ;(00000000) (0) (0) (00)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;
;3120;(00100000) (40) (32) (20)    ;(00100000) (40) (32) (20)   ;(01010011) (123) (83) (53)   ;(01101111) (157) (111) (6F)   ;(01110101) (165) (117) (75)   ;(01110100) (164) (116) (74)   ;(01101000) (150) (104) (68)   ;(00100000) (40) (32) (20)   ;
;3128;(01000010) (102) (66) (42)    ;(01110101) (165) (117) (75)   ;(01110100) (164) (116) (74)   ;(01110100) (164) (116) (74)   ;(01101111) (157) (111) (6F)   ;(01101110) (156) (110) (6E)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;
;3136;(00111101) (75) (61) (3D)    ;(00111101) (75) (61) (3D)   ;(00111110) (76) (62) (3E)   ;(00100000) (40) (32) (20)   ;(00110011) (63) (51) (33)   ;(00101110) (56) (46) (2E)   ;(00110101) (65) (53) (35)   ;(00110111) (67) (55) (37)   ;
;3144;(01001101) (115) (77) (4D)    ;(01101000) (150) (104) (68)   ;(00100000) (40) (32) (20)   ;(00101111) (57) (47) (2F)   ;(00100000) (40) (32) (20)   ;(00110001) (61) (49) (31)   ;(00110000) (60) (48) (30)   ;(01001101) (115) (77) (4D)   ;
;3152;(01101000) (150) (104) (68)    ;(01111010) (172) (122) (7A)   ;(00001010) (12) (10) (0A)   ;(00000000) (0) (0) (00)   ;(00001010) (12) (10) (0A)   ;(00001010) (12) (10) (0A)   ;(00000000) (0) (0) (00)   ;(00100000) (40) (32) (20)   ;
;3160;(00100000) (40) (32) (20)    ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(01010100) (124) (84) (54)   ;(01101000) (150) (104) (68)   ;(01101001) (151) (105) (69)   ;(01110011) (163) (115) (73)   ;
;3168;(00100000) (40) (32) (20)    ;(01101001) (151) (105) (69)   ;(01101110) (156) (110) (6E)   ;(01110011) (163) (115) (73)   ;(01110100) (164) (116) (74)   ;(01110010) (162) (114) (72)   ;(01110101) (165) (117) (75)   ;(01100011) (143) (99) (63)   ;
;3176;(01110100) (164) (116) (74)    ;(01101111) (157) (111) (6F)   ;(01101110) (156) (110) (6E)   ;(01110011) (163) (115) (73)   ;(00100000) (40) (32) (20)   ;(01100001) (141) (97) (61)   ;(01110011) (163) (115) (73)   ;(01110011) (163) (115) (73)   ;
;3184;(01110101) (165) (117) (75)    ;(01101101) (155) (109) (6D)   ;(01100101) (145) (101) (65)   ;(00100000) (40) (32) (20)   ;(01111001) (171) (121) (79)   ;(01101111) (157) (111) (6F)   ;(01110101) (165) (117) (75)   ;(00100000) (40) (32) (20)   ;
;3192;(01101000) (150) (104) (68)    ;(01100001) (141) (97) (61)   ;(01110110) (166) (118) (76)   ;(01100101) (145) (101) (65)   ;(00100000) (40) (32) (20)   ;(01110100) (164) (116) (74)   ;(01101000) (150) (104) (68)   ;(01100101) (145) (101) (65)   ;
;3200;(00100000) (40) (32) (20)    ;(01110000) (160) (112) (70)   ;(01110101) (165) (117) (75)   ;(01110011) (163) (115) (73)   ;(01101000) (150) (104) (68)   ;(01100010) (142) (98) (62)   ;(01110101) (165) (117) (75)   ;(01110100) (164) (116) (74)   ;
;3208;(01110100) (164) (116) (74)    ;(01101111) (157) (111) (6F)   ;(01101110) (156) (110) (6E)   ;(01110011) (163) (115) (73)   ;(00100000) (40) (32) (20)   ;(01101101) (155) (109) (6D)   ;(01101111) (157) (111) (6F)   ;(01100100) (144) (100) (64)   ;
;3216;(01110101) (165) (117) (75)    ;(01101100) (154) (108) (6C)   ;(01100101) (145) (101) (65)   ;(00100000) (40) (32) (20)   ;(01101001) (151) (105) (69)   ;(01101110) (156) (110) (6E)   ;(01110011) (163) (115) (73)   ;(01110100) (164) (116) (74)   ;
;3224;(01100001) (141) (97) (61)    ;(01101100) (154) (108) (6C)   ;(01101100) (154) (108) (6C)   ;(01100101) (145) (101) (65)   ;(01100100) (144) (100) (64)   ;(00101110) (56) (46) (2E)   ;(00001010) (12) (10) (0A)   ;(00000000) (0) (0) (00)   ;
;3232;(00100000) (40) (32) (20)    ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(01010100) (124) (84) (54)   ;(01101000) (150) (104) (68)   ;(01100101) (145) (101) (65)   ;
;3240;(01110011) (163) (115) (73)    ;(01100101) (145) (101) (65)   ;(00100000) (40) (32) (20)   ;(01110000) (160) (112) (70)   ;(01110101) (165) (117) (75)   ;(01110011) (163) (115) (73)   ;(01101000) (150) (104) (68)   ;(01100010) (142) (98) (62)   ;
;3248;(01110101) (165) (117) (75)    ;(01110100) (164) (116) (74)   ;(01101111) (157) (111) (6F)   ;(01101110) (156) (110) (6E)   ;(01110011) (163) (115) (73)   ;(00100000) (40) (32) (20)   ;(01110111) (167) (119) (77)   ;(01101001) (151) (105) (69)   ;
;3256;(01101100) (154) (108) (6C)    ;(01101100) (154) (108) (6C)   ;(00100000) (40) (32) (20)   ;(01110100) (164) (116) (74)   ;(01110010) (162) (114) (72)   ;(01101001) (151) (105) (69)   ;(01100111) (147) (103) (67)   ;(01100111) (147) (103) (67)   ;
;3264;(01100101) (145) (101) (65)    ;(01110010) (162) (114) (72)   ;(00100000) (40) (32) (20)   ;(01110100) (164) (116) (74)   ;(01101000) (150) (104) (68)   ;(01100101) (145) (101) (65)   ;(00100000) (40) (32) (20)   ;(01110100) (164) (116) (74)   ;
;3272;(01100101) (145) (101) (65)    ;(01110011) (163) (115) (73)   ;(01110100) (164) (116) (74)   ;(01110011) (163) (115) (73)   ;(00111010) (72) (58) (3A)   ;(00001010) (12) (10) (0A)   ;(00001010) (12) (10) (0A)   ;(00000000) (0) (0) (00)   ;
;3280;(00100000) (40) (32) (20)    ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00110000) (60) (48) (30)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;
;3288;(00111101) (75) (61) (3D)    ;(00111101) (75) (61) (3D)   ;(00111110) (76) (62) (3E)   ;(00100000) (40) (32) (20)   ;(01010010) (122) (82) (52)   ;(01000001) (101) (65) (41)   ;(01001101) (115) (77) (4D)   ;(00100000) (40) (32) (20)   ;
;3296;(00100000) (40) (32) (20)    ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(01110010) (162) (114) (72)   ;(01100101) (145) (101) (65)   ;(01100001) (141) (97) (61)   ;(01100100) (144) (100) (64)   ;
;3304;(00101111) (57) (47) (2F)    ;(01110111) (167) (119) (77)   ;(01110010) (162) (114) (72)   ;(01101001) (151) (105) (69)   ;(01110100) (164) (116) (74)   ;(01100101) (145) (101) (65)   ;(00100000) (40) (32) (20)   ;(01110100) (164) (116) (74)   ;
;3312;(01100101) (145) (101) (65)    ;(01110011) (163) (115) (73)   ;(01110100) (164) (116) (74)   ;(00001010) (12) (10) (0A)   ;(00000000) (0) (0) (00)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;
;3320;(00100000) (40) (32) (20)    ;(00100000) (40) (32) (20)   ;(00110001) (61) (49) (31)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00111101) (75) (61) (3D)   ;(00111101) (75) (61) (3D)   ;(00111110) (76) (62) (3E)   ;
;3328;(00100000) (40) (32) (20)    ;(01010110) (126) (86) (56)   ;(01010010) (122) (82) (52)   ;(01000001) (101) (65) (41)   ;(01001101) (115) (77) (4D)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;
;3336;(00100000) (40) (32) (20)    ;(01110010) (162) (114) (72)   ;(01100101) (145) (101) (65)   ;(01100001) (141) (97) (61)   ;(01100100) (144) (100) (64)   ;(00101111) (57) (47) (2F)   ;(01110111) (167) (119) (77)   ;(01110010) (162) (114) (72)   ;
;3344;(01101001) (151) (105) (69)    ;(01110100) (164) (116) (74)   ;(01100101) (145) (101) (65)   ;(00100000) (40) (32) (20)   ;(01110100) (164) (116) (74)   ;(01100101) (145) (101) (65)   ;(01110011) (163) (115) (73)   ;(01110100) (164) (116) (74)   ;
;3352;(00001010) (12) (10) (0A)    ;(00000000) (0) (0) (00)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00110010) (62) (50) (32)   ;
;3360;(00100000) (40) (32) (20)    ;(00100000) (40) (32) (20)   ;(00111101) (75) (61) (3D)   ;(00111101) (75) (61) (3D)   ;(00111110) (76) (62) (3E)   ;(00100000) (40) (32) (20)   ;(01000011) (103) (67) (43)   ;(01101000) (150) (104) (68)   ;
;3368;(01100001) (141) (97) (61)    ;(01110010) (162) (114) (72)   ;(01010010) (122) (82) (52)   ;(01000001) (101) (65) (41)   ;(01001101) (115) (77) (4D)   ;(00100000) (40) (32) (20)   ;(01110010) (162) (114) (72)   ;(01100101) (145) (101) (65)   ;
;3376;(01100001) (141) (97) (61)    ;(01100100) (144) (100) (64)   ;(00101111) (57) (47) (2F)   ;(01110111) (167) (119) (77)   ;(01110010) (162) (114) (72)   ;(01101001) (151) (105) (69)   ;(01110100) (164) (116) (74)   ;(01100101) (145) (101) (65)   ;
;3384;(00100000) (40) (32) (20)    ;(01110100) (164) (116) (74)   ;(01100101) (145) (101) (65)   ;(01110011) (163) (115) (73)   ;(01110100) (164) (116) (74)   ;(00100000) (40) (32) (20)   ;(00101000) (50) (40) (28)   ;(00100001) (41) (33) (21)   ;
;3392;(00100001) (41) (33) (21)    ;(01110111) (167) (119) (77)   ;(01101001) (151) (105) (69)   ;(01101100) (154) (108) (6C)   ;(01101100) (154) (108) (6C)   ;(00100000) (40) (32) (20)   ;(01101101) (155) (109) (6D)   ;(01100101) (145) (101) (65)   ;
;3400;(01110011) (163) (115) (73)    ;(01110011) (163) (115) (73)   ;(00100000) (40) (32) (20)   ;(01110011) (163) (115) (73)   ;(01100011) (143) (99) (63)   ;(01110010) (162) (114) (72)   ;(01100101) (145) (101) (65)   ;(01100101) (145) (101) (65)   ;
;3408;(01101110) (156) (110) (6E)    ;(00100001) (41) (33) (21)   ;(00100001) (41) (33) (21)   ;(00101001) (51) (41) (29)   ;(00001010) (12) (10) (0A)   ;(00000000) (0) (0) (00)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;
;3416;(00100000) (40) (32) (20)    ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00110011) (63) (51) (33)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00111101) (75) (61) (3D)   ;(00111101) (75) (61) (3D)   ;
;3424;(00111110) (76) (62) (3E)    ;(00100000) (40) (32) (20)   ;(01000011) (103) (67) (43)   ;(01101000) (150) (104) (68)   ;(01100001) (141) (97) (61)   ;(01110010) (162) (114) (72)   ;(01010010) (122) (82) (52)   ;(01000001) (101) (65) (41)   ;
;3432;(01001101) (115) (77) (4D)    ;(00100000) (40) (32) (20)   ;(01100011) (143) (99) (63)   ;(01101000) (150) (104) (68)   ;(01100001) (141) (97) (61)   ;(01110010) (162) (114) (72)   ;(01100001) (141) (97) (61)   ;(01100011) (143) (99) (63)   ;
;3440;(01110100) (164) (116) (74)    ;(01100101) (145) (101) (65)   ;(01110010) (162) (114) (72)   ;(00100000) (40) (32) (20)   ;(01110010) (162) (114) (72)   ;(01100101) (145) (101) (65)   ;(01100100) (144) (100) (64)   ;(01100101) (145) (101) (65)   ;
;3448;(01100110) (146) (102) (66)    ;(01101001) (151) (105) (69)   ;(01101110) (156) (110) (6E)   ;(01101001) (151) (105) (69)   ;(01110100) (164) (116) (74)   ;(01101001) (151) (105) (69)   ;(01101111) (157) (111) (6F)   ;(01101110) (156) (110) (6E)   ;
;3456;(00001010) (12) (10) (0A)    ;(00000000) (0) (0) (00)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00110100) (64) (52) (34)   ;
;3464;(00100000) (40) (32) (20)    ;(00100000) (40) (32) (20)   ;(00111101) (75) (61) (3D)   ;(00111101) (75) (61) (3D)   ;(00111110) (76) (62) (3E)   ;(00100000) (40) (32) (20)   ;(01001100) (114) (76) (4C)   ;(01000011) (103) (67) (43)   ;
;3472;(01000100) (104) (68) (44)    ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(01010000) (120) (80) (50)   ;(01110010) (162) (114) (72)   ;
;3480;(01101001) (151) (105) (69)    ;(01101110) (156) (110) (6E)   ;(01110100) (164) (116) (74)   ;(00100000) (40) (32) (20)   ;(01110100) (164) (116) (74)   ;(01100101) (145) (101) (65)   ;(01111000) (170) (120) (78)   ;(01110100) (164) (116) (74)   ;
;3488;(00100000) (40) (32) (20)    ;(01110100) (164) (116) (74)   ;(01101111) (157) (111) (6F)   ;(00100000) (40) (32) (20)   ;(01001100) (114) (76) (4C)   ;(01000011) (103) (67) (43)   ;(01000100) (104) (68) (44)   ;(00100000) (40) (32) (20)   ;
;3496;(01110011) (163) (115) (73)    ;(01100011) (143) (99) (63)   ;(01110010) (162) (114) (72)   ;(01100101) (145) (101) (65)   ;(01100101) (145) (101) (65)   ;(01101110) (156) (110) (6E)   ;(00001010) (12) (10) (0A)   ;(00000000) (0) (0) (00)   ;
;3504;(00100000) (40) (32) (20)    ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(01000001) (101) (65) (41)   ;(01100110) (146) (102) (66)   ;(01110100) (164) (116) (74)   ;
;3512;(01100101) (145) (101) (65)    ;(01110010) (162) (114) (72)   ;(00100000) (40) (32) (20)   ;(01110100) (164) (116) (74)   ;(01100101) (145) (101) (65)   ;(01110011) (163) (115) (73)   ;(01110100) (164) (116) (74)   ;(00100000) (40) (32) (20)   ;
;3520;(01100101) (145) (101) (65)    ;(01101110) (156) (110) (6E)   ;(01100100) (144) (100) (64)   ;(01110011) (163) (115) (73)   ;(00101100) (54) (44) (2C)   ;(00100000) (40) (32) (20)   ;(01110000) (160) (112) (70)   ;(01110010) (162) (114) (72)   ;
;3528;(01100101) (145) (101) (65)    ;(01110011) (163) (115) (73)   ;(01110011) (163) (115) (73)   ;(00100000) (40) (32) (20)   ;(01101100) (154) (108) (6C)   ;(01100101) (145) (101) (65)   ;(01100110) (146) (102) (66)   ;(01110100) (164) (116) (74)   ;
;3536;(00100000) (40) (32) (20)    ;(01001010) (112) (74) (4A)   ;(01101111) (157) (111) (6F)   ;(01111001) (171) (121) (79)   ;(00100000) (40) (32) (20)   ;(01100010) (142) (98) (62)   ;(01110101) (165) (117) (75)   ;(01110100) (164) (116) (74)   ;
;3544;(01110100) (164) (116) (74)    ;(01101111) (157) (111) (6F)   ;(01101110) (156) (110) (6E)   ;(00100000) (40) (32) (20)   ;(01110100) (164) (116) (74)   ;(01101111) (157) (111) (6F)   ;(00100000) (40) (32) (20)   ;(01110010) (162) (114) (72)   ;
;3552;(01100101) (145) (101) (65)    ;(01110100) (164) (116) (74)   ;(01110101) (165) (117) (75)   ;(01110010) (162) (114) (72)   ;(01101110) (156) (110) (6E)   ;(00100000) (40) (32) (20)   ;(01110100) (164) (116) (74)   ;(01101111) (157) (111) (6F)   ;
;3560;(00100000) (40) (32) (20)    ;(01101101) (155) (109) (6D)   ;(01100001) (141) (97) (61)   ;(01101001) (151) (105) (69)   ;(01101110) (156) (110) (6E)   ;(00100000) (40) (32) (20)   ;(01110011) (163) (115) (73)   ;(01100011) (143) (99) (63)   ;
;3568;(01110010) (162) (114) (72)    ;(01100101) (145) (101) (65)   ;(01100101) (145) (101) (65)   ;(01101110) (156) (110) (6E)   ;(00001010) (12) (10) (0A)   ;(00000000) (0) (0) (00)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;
;3576;(00100000) (40) (32) (20)    ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(01010101) (125) (85) (55)   ;(01110011) (163) (115) (73)   ;(01100101) (145) (101) (65)   ;(00100000) (40) (32) (20)   ;(01110100) (164) (116) (74)   ;
;3584;(01101000) (150) (104) (68)    ;(01100101) (145) (101) (65)   ;(00100000) (40) (32) (20)   ;(01110100) (164) (116) (74)   ;(01101000) (150) (104) (68)   ;(01110010) (162) (114) (72)   ;(01100101) (145) (101) (65)   ;(01100101) (145) (101) (65)   ;
;3592;(00100000) (40) (32) (20)    ;(01110010) (162) (114) (72)   ;(01101001) (151) (105) (69)   ;(01100111) (147) (103) (67)   ;(01101000) (150) (104) (68)   ;(01110100) (164) (116) (74)   ;(00100000) (40) (32) (20)   ;(01010011) (123) (83) (53)   ;
;3600;(01110111) (167) (119) (77)    ;(01101001) (151) (105) (69)   ;(01110100) (164) (116) (74)   ;(01100011) (143) (99) (63)   ;(01101000) (150) (104) (68)   ;(01100101) (145) (101) (65)   ;(01110011) (163) (115) (73)   ;(00100000) (40) (32) (20)   ;
;3608;(01110100) (164) (116) (74)    ;(01101111) (157) (111) (6F)   ;(00100000) (40) (32) (20)   ;(01110010) (162) (114) (72)   ;(01110101) (165) (117) (75)   ;(01101110) (156) (110) (6E)   ;(00100000) (40) (32) (20)   ;(01100100) (144) (100) (64)   ;
;3616;(01101001) (151) (105) (69)    ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(01100101) (145) (101) (65)   ;(01110010) (162) (114) (72)   ;(01100101) (145) (101) (65)   ;(01101110) (156) (110) (6E)   ;(01110100) (164) (116) (74)   ;
;3624;(00100000) (40) (32) (20)    ;(01110100) (164) (116) (74)   ;(01100101) (145) (101) (65)   ;(01110011) (163) (115) (73)   ;(01110100) (164) (116) (74)   ;(01110011) (163) (115) (73)   ;(00101110) (56) (46) (2E)   ;(00001010) (12) (10) (0A)   ;
;3632;(00000000) (0) (0) (00)    ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(01010011) (123) (83) (53)   ;(01110111) (167) (119) (77)   ;
;3640;(01101001) (151) (105) (69)    ;(01110100) (164) (116) (74)   ;(01100011) (143) (99) (63)   ;(01101000) (150) (104) (68)   ;(00100000) (40) (32) (20)   ;(01110000) (160) (112) (70)   ;(01101111) (157) (111) (6F)   ;(01110011) (163) (115) (73)   ;
;3648;(01101001) (151) (105) (69)    ;(01110100) (164) (116) (74)   ;(01101001) (151) (105) (69)   ;(01101111) (157) (111) (6F)   ;(01101110) (156) (110) (6E)   ;(01110011) (163) (115) (73)   ;(00100000) (40) (32) (20)   ;(01100001) (141) (97) (61)   ;
;3656;(01101110) (156) (110) (6E)    ;(01100100) (144) (100) (64)   ;(00100000) (40) (32) (20)   ;(01110100) (164) (116) (74)   ;(01100101) (145) (101) (65)   ;(01110011) (163) (115) (73)   ;(01110100) (164) (116) (74)   ;(01110011) (163) (115) (73)   ;
;3664;(00111010) (72) (58) (3A)    ;(00001010) (12) (10) (0A)   ;(00001010) (12) (10) (0A)   ;(00000000) (0) (0) (00)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;
;3672;(00100000) (40) (32) (20)    ;(00110000) (60) (48) (30)   ;(00110000) (60) (48) (30)   ;(00110001) (61) (49) (31)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00111101) (75) (61) (3D)   ;(00111101) (75) (61) (3D)   ;
;3680;(00111110) (76) (62) (3E)    ;(00100000) (40) (32) (20)   ;(01010010) (122) (82) (52)   ;(01000001) (101) (65) (41)   ;(01001101) (115) (77) (4D)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;
;3688;(00100000) (40) (32) (20)    ;(00100000) (40) (32) (20)   ;(01110010) (162) (114) (72)   ;(01100101) (145) (101) (65)   ;(01100001) (141) (97) (61)   ;(01100100) (144) (100) (64)   ;(00101111) (57) (47) (2F)   ;(01110111) (167) (119) (77)   ;
;3696;(01110010) (162) (114) (72)    ;(01101001) (151) (105) (69)   ;(01110100) (164) (116) (74)   ;(01100101) (145) (101) (65)   ;(00100000) (40) (32) (20)   ;(01110100) (164) (116) (74)   ;(01100101) (145) (101) (65)   ;(01110011) (163) (115) (73)   ;
;3704;(01110100) (164) (116) (74)    ;(00001010) (12) (10) (0A)   ;(00000000) (0) (0) (00)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;
;3712;(00110000) (60) (48) (30)    ;(00110001) (61) (49) (31)   ;(00110000) (60) (48) (30)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00111101) (75) (61) (3D)   ;(00111101) (75) (61) (3D)   ;(00111110) (76) (62) (3E)   ;
;3720;(00100000) (40) (32) (20)    ;(01010110) (126) (86) (56)   ;(01010010) (122) (82) (52)   ;(01000001) (101) (65) (41)   ;(01001101) (115) (77) (4D)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;
;3728;(00100000) (40) (32) (20)    ;(01110010) (162) (114) (72)   ;(01100101) (145) (101) (65)   ;(01100001) (141) (97) (61)   ;(01100100) (144) (100) (64)   ;(00101111) (57) (47) (2F)   ;(01110111) (167) (119) (77)   ;(01110010) (162) (114) (72)   ;
;3736;(01101001) (151) (105) (69)    ;(01110100) (164) (116) (74)   ;(01100101) (145) (101) (65)   ;(00100000) (40) (32) (20)   ;(01110100) (164) (116) (74)   ;(01100101) (145) (101) (65)   ;(01110011) (163) (115) (73)   ;(01110100) (164) (116) (74)   ;
;3744;(00001010) (12) (10) (0A)    ;(00000000) (0) (0) (00)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00110000) (60) (48) (30)   ;
;3752;(00110001) (61) (49) (31)    ;(00110001) (61) (49) (31)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00111101) (75) (61) (3D)   ;(00111101) (75) (61) (3D)   ;(00111110) (76) (62) (3E)   ;(00100000) (40) (32) (20)   ;
;3760;(01000011) (103) (67) (43)    ;(01101000) (150) (104) (68)   ;(01100001) (141) (97) (61)   ;(01110010) (162) (114) (72)   ;(01010010) (122) (82) (52)   ;(01000001) (101) (65) (41)   ;(01001101) (115) (77) (4D)   ;(00100000) (40) (32) (20)   ;
;3768;(01110010) (162) (114) (72)    ;(01100101) (145) (101) (65)   ;(01100001) (141) (97) (61)   ;(01100100) (144) (100) (64)   ;(00101111) (57) (47) (2F)   ;(01110111) (167) (119) (77)   ;(01110010) (162) (114) (72)   ;(01101001) (151) (105) (69)   ;
;3776;(01110100) (164) (116) (74)    ;(01100101) (145) (101) (65)   ;(00100000) (40) (32) (20)   ;(01110100) (164) (116) (74)   ;(01100101) (145) (101) (65)   ;(01110011) (163) (115) (73)   ;(01110100) (164) (116) (74)   ;(00100000) (40) (32) (20)   ;
;3784;(00101000) (50) (40) (28)    ;(00100001) (41) (33) (21)   ;(00100001) (41) (33) (21)   ;(01110111) (167) (119) (77)   ;(01101001) (151) (105) (69)   ;(01101100) (154) (108) (6C)   ;(01101100) (154) (108) (6C)   ;(00100000) (40) (32) (20)   ;
;3792;(01101101) (155) (109) (6D)    ;(01100101) (145) (101) (65)   ;(01110011) (163) (115) (73)   ;(01110011) (163) (115) (73)   ;(00100000) (40) (32) (20)   ;(01110011) (163) (115) (73)   ;(01100011) (143) (99) (63)   ;(01110010) (162) (114) (72)   ;
;3800;(01100101) (145) (101) (65)    ;(01100101) (145) (101) (65)   ;(01101110) (156) (110) (6E)   ;(00100001) (41) (33) (21)   ;(00100001) (41) (33) (21)   ;(00101001) (51) (41) (29)   ;(00001010) (12) (10) (0A)   ;(00000000) (0) (0) (00)   ;
;3808;(00100000) (40) (32) (20)    ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00110001) (61) (49) (31)   ;(00110000) (60) (48) (30)   ;(00110000) (60) (48) (30)   ;
;3816;(00100000) (40) (32) (20)    ;(00100000) (40) (32) (20)   ;(00111101) (75) (61) (3D)   ;(00111101) (75) (61) (3D)   ;(00111110) (76) (62) (3E)   ;(00100000) (40) (32) (20)   ;(01000011) (103) (67) (43)   ;(01101000) (150) (104) (68)   ;
;3824;(01100001) (141) (97) (61)    ;(01110010) (162) (114) (72)   ;(01010010) (122) (82) (52)   ;(01000001) (101) (65) (41)   ;(01001101) (115) (77) (4D)   ;(00100000) (40) (32) (20)   ;(01100011) (143) (99) (63)   ;(01101000) (150) (104) (68)   ;
;3832;(01100001) (141) (97) (61)    ;(01110010) (162) (114) (72)   ;(01100001) (141) (97) (61)   ;(01100011) (143) (99) (63)   ;(01110100) (164) (116) (74)   ;(01100101) (145) (101) (65)   ;(01110010) (162) (114) (72)   ;(00100000) (40) (32) (20)   ;
;3840;(01110010) (162) (114) (72)    ;(01100101) (145) (101) (65)   ;(01100100) (144) (100) (64)   ;(01100101) (145) (101) (65)   ;(01100110) (146) (102) (66)   ;(01101001) (151) (105) (69)   ;(01101110) (156) (110) (6E)   ;(01101001) (151) (105) (69)   ;
;3848;(01110100) (164) (116) (74)    ;(01101001) (151) (105) (69)   ;(01101111) (157) (111) (6F)   ;(01101110) (156) (110) (6E)   ;(00001010) (12) (10) (0A)   ;(00000000) (0) (0) (00)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;
;3856;(00100000) (40) (32) (20)    ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00110001) (61) (49) (31)   ;(00110000) (60) (48) (30)   ;(00110001) (61) (49) (31)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;
;3864;(00111101) (75) (61) (3D)    ;(00111101) (75) (61) (3D)   ;(00111110) (76) (62) (3E)   ;(00100000) (40) (32) (20)   ;(01001100) (114) (76) (4C)   ;(01000011) (103) (67) (43)   ;(01000100) (104) (68) (44)   ;(00100000) (40) (32) (20)   ;
;3872;(00100000) (40) (32) (20)    ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(01010000) (120) (80) (50)   ;(01110010) (162) (114) (72)   ;(01101001) (151) (105) (69)   ;(01101110) (156) (110) (6E)   ;
;3880;(01110100) (164) (116) (74)    ;(00100000) (40) (32) (20)   ;(01110100) (164) (116) (74)   ;(01100101) (145) (101) (65)   ;(01111000) (170) (120) (78)   ;(01110100) (164) (116) (74)   ;(00100000) (40) (32) (20)   ;(01110100) (164) (116) (74)   ;
;3888;(01101111) (157) (111) (6F)    ;(00100000) (40) (32) (20)   ;(01001100) (114) (76) (4C)   ;(01000011) (103) (67) (43)   ;(01000100) (104) (68) (44)   ;(00100000) (40) (32) (20)   ;(01110011) (163) (115) (73)   ;(01100011) (143) (99) (63)   ;
;3896;(01110010) (162) (114) (72)    ;(01100101) (145) (101) (65)   ;(01100101) (145) (101) (65)   ;(01101110) (156) (110) (6E)   ;(00001010) (12) (10) (0A)   ;(00000000) (0) (0) (00)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;
;3904;(00100000) (40) (32) (20)    ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00110001) (61) (49) (31)   ;(00110001) (61) (49) (31)   ;(00110000) (60) (48) (30)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;
;3912;(00111101) (75) (61) (3D)    ;(00111101) (75) (61) (3D)   ;(00111110) (76) (62) (3E)   ;(00100000) (40) (32) (20)   ;(01001011) (113) (75) (4B)   ;(01000010) (102) (66) (42)   ;(01000100) (104) (68) (44)   ;(00100000) (40) (32) (20)   ;
;3920;(00100000) (40) (32) (20)    ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(01010010) (122) (82) (52)   ;(01100101) (145) (101) (65)   ;(01100001) (141) (97) (61)   ;(01100100) (144) (100) (64)   ;
;3928;(00100000) (40) (32) (20)    ;(01101011) (153) (107) (6B)   ;(01100101) (145) (101) (65)   ;(01111001) (171) (121) (79)   ;(01100010) (142) (98) (62)   ;(01101111) (157) (111) (6F)   ;(01100001) (141) (97) (61)   ;(01110010) (162) (114) (72)   ;
;3936;(01100100) (144) (100) (64)    ;(00100000) (40) (32) (20)   ;(01100001) (141) (97) (61)   ;(01101110) (156) (110) (6E)   ;(01100100) (144) (100) (64)   ;(00100000) (40) (32) (20)   ;(01110000) (160) (112) (70)   ;(01110010) (162) (114) (72)   ;
;3944;(01101001) (151) (105) (69)    ;(01101110) (156) (110) (6E)   ;(01110100) (164) (116) (74)   ;(00100000) (40) (32) (20)   ;(01100011) (143) (99) (63)   ;(01101000) (150) (104) (68)   ;(01100001) (141) (97) (61)   ;(01110010) (162) (114) (72)   ;
;3952;(01100001) (141) (97) (61)    ;(01100011) (143) (99) (63)   ;(01110100) (164) (116) (74)   ;(01100101) (145) (101) (65)   ;(01110010) (162) (114) (72)   ;(00100000) (40) (32) (20)   ;(01101111) (157) (111) (6F)   ;(01101110) (156) (110) (6E)   ;
;3960;(00100000) (40) (32) (20)    ;(01110011) (163) (115) (73)   ;(01100011) (143) (99) (63)   ;(01110010) (162) (114) (72)   ;(01100101) (145) (101) (65)   ;(01100101) (145) (101) (65)   ;(01101110) (156) (110) (6E)   ;(00001010) (12) (10) (0A)   ;
;3968;(00000000) (0) (0) (00)    ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(01010011) (123) (83) (53)   ;(01100101) (145) (101) (65)   ;
;3976;(01110100) (164) (116) (74)    ;(00100000) (40) (32) (20)   ;(01110100) (164) (116) (74)   ;(01101000) (150) (104) (68)   ;(01100101) (145) (101) (65)   ;(00100000) (40) (32) (20)   ;(01010011) (123) (83) (53)   ;(01110111) (167) (119) (77)   ;
;3984;(01101001) (151) (105) (69)    ;(01110100) (164) (116) (74)   ;(01100011) (143) (99) (63)   ;(01101000) (150) (104) (68)   ;(00100000) (40) (32) (20)   ;(01100001) (141) (97) (61)   ;(01101110) (156) (110) (6E)   ;(01100100) (144) (100) (64)   ;
;3992;(00100000) (40) (32) (20)    ;(01110000) (160) (112) (70)   ;(01110010) (162) (114) (72)   ;(01100101) (145) (101) (65)   ;(01110011) (163) (115) (73)   ;(01110011) (163) (115) (73)   ;(00100000) (40) (32) (20)   ;(01100010) (142) (98) (62)   ;
;4000;(01110101) (165) (117) (75)    ;(01110100) (164) (116) (74)   ;(01110100) (164) (116) (74)   ;(01101111) (157) (111) (6F)   ;(01101110) (156) (110) (6E)   ;(00100000) (40) (32) (20)   ;(00110000) (60) (48) (30)   ;(00100000) (40) (32) (20)   ;
;4008;(01110100) (164) (116) (74)    ;(01101111) (157) (111) (6F)   ;(00100000) (40) (32) (20)   ;(01110011) (163) (115) (73)   ;(01110100) (164) (116) (74)   ;(01100001) (141) (97) (61)   ;(01110010) (162) (114) (72)   ;(01110100) (164) (116) (74)   ;
;4016;(00100000) (40) (32) (20)    ;(01110100) (164) (116) (74)   ;(01101000) (150) (104) (68)   ;(01100101) (145) (101) (65)   ;(00100000) (40) (32) (20)   ;(01110100) (164) (116) (74)   ;(01100101) (145) (101) (65)   ;(01110011) (163) (115) (73)   ;
;4024;(01110100) (164) (116) (74)    ;(00001010) (12) (10) (0A)   ;(00000000) (0) (0) (00)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;
;4032;(01000001) (101) (65) (41)    ;(01100110) (146) (102) (66)   ;(01110100) (164) (116) (74)   ;(01100101) (145) (101) (65)   ;(01110010) (162) (114) (72)   ;(00100000) (40) (32) (20)   ;(01110100) (164) (116) (74)   ;(01100101) (145) (101) (65)   ;
;4040;(01110011) (163) (115) (73)    ;(01110100) (164) (116) (74)   ;(00100000) (40) (32) (20)   ;(01100101) (145) (101) (65)   ;(01101110) (156) (110) (6E)   ;(01100100) (144) (100) (64)   ;(01110011) (163) (115) (73)   ;(00101100) (54) (44) (2C)   ;
;4048;(00100000) (40) (32) (20)    ;(01110000) (160) (112) (70)   ;(01110010) (162) (114) (72)   ;(01100101) (145) (101) (65)   ;(01110011) (163) (115) (73)   ;(01110011) (163) (115) (73)   ;(00100000) (40) (32) (20)   ;(01100010) (142) (98) (62)   ;
;4056;(01110101) (165) (117) (75)    ;(01110100) (164) (116) (74)   ;(01110100) (164) (116) (74)   ;(01101111) (157) (111) (6F)   ;(01101110) (156) (110) (6E)   ;(00100000) (40) (32) (20)   ;(00110001) (61) (49) (31)   ;(00100000) (40) (32) (20)   ;
;4064;(01110100) (164) (116) (74)    ;(01101111) (157) (111) (6F)   ;(00100000) (40) (32) (20)   ;(01110010) (162) (114) (72)   ;(01100101) (145) (101) (65)   ;(01110100) (164) (116) (74)   ;(01110101) (165) (117) (75)   ;(01110010) (162) (114) (72)   ;
;4072;(01101110) (156) (110) (6E)    ;(00100000) (40) (32) (20)   ;(01110100) (164) (116) (74)   ;(01101111) (157) (111) (6F)   ;(00100000) (40) (32) (20)   ;(01101101) (155) (109) (6D)   ;(01100001) (141) (97) (61)   ;(01101001) (151) (105) (69)   ;
;4080;(01101110) (156) (110) (6E)    ;(00100000) (40) (32) (20)   ;(01110011) (163) (115) (73)   ;(01100011) (143) (99) (63)   ;(01110010) (162) (114) (72)   ;(01100101) (145) (101) (65)   ;(01100101) (145) (101) (65)   ;(01101110) (156) (110) (6E)   ;
;4088;(00001010) (12) (10) (0A)    ;(00000000) (0) (0) (00)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(01001001) (111) (73) (49)   ;
;4096;(01100110) (146) (102) (66)    ;(00100000) (40) (32) (20)   ;(01100111) (147) (103) (67)   ;(01110010) (162) (114) (72)   ;(01100101) (145) (101) (65)   ;(01100101) (145) (101) (65)   ;(01101110) (156) (110) (6E)   ;(00100000) (40) (32) (20)   ;
;4104;(01101100) (154) (108) (6C)    ;(01100101) (145) (101) (65)   ;(01100100) (144) (100) (64)   ;(01110011) (163) (115) (73)   ;(00100000) (40) (32) (20)   ;(01100001) (141) (97) (61)   ;(01110010) (162) (114) (72)   ;(01100101) (145) (101) (65)   ;
;4112;(00100000) (40) (32) (20)    ;(01110011) (163) (115) (73)   ;(01110100) (164) (116) (74)   ;(01100101) (145) (101) (65)   ;(01100001) (141) (97) (61)   ;(01100100) (144) (100) (64)   ;(01111001) (171) (121) (79)   ;(00101100) (54) (44) (2C)   ;
;4120;(00100000) (40) (32) (20)    ;(01110100) (164) (116) (74)   ;(01100101) (145) (101) (65)   ;(01110011) (163) (115) (73)   ;(01110100) (164) (116) (74)   ;(01110011) (163) (115) (73)   ;(00100000) (40) (32) (20)   ;(01110000) (160) (112) (70)   ;
;4128;(01100001) (141) (97) (61)    ;(01110011) (163) (115) (73)   ;(01110011) (163) (115) (73)   ;(01100101) (145) (101) (65)   ;(01100100) (144) (100) (64)   ;(00100000) (40) (32) (20)   ;(01110011) (163) (115) (73)   ;(01110101) (165) (117) (75)   ;
;4136;(01100011) (143) (99) (63)    ;(01100011) (143) (99) (63)   ;(01100101) (145) (101) (65)   ;(01110011) (163) (115) (73)   ;(01110011) (163) (115) (73)   ;(01100110) (146) (102) (66)   ;(01110101) (165) (117) (75)   ;(01101100) (154) (108) (6C)   ;
;4144;(01111001) (171) (121) (79)    ;(00001010) (12) (10) (0A)   ;(00000000) (0) (0) (00)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;
;4152;(01001001) (111) (73) (49)    ;(01100110) (146) (102) (66)   ;(00100000) (40) (32) (20)   ;(01100111) (147) (103) (67)   ;(01110010) (162) (114) (72)   ;(01100101) (145) (101) (65)   ;(01100101) (145) (101) (65)   ;(01101110) (156) (110) (6E)   ;
;4160;(00100000) (40) (32) (20)    ;(01101100) (154) (108) (6C)   ;(01100101) (145) (101) (65)   ;(01100100) (144) (100) (64)   ;(01110011) (163) (115) (73)   ;(00100000) (40) (32) (20)   ;(01100001) (141) (97) (61)   ;(01110010) (162) (114) (72)   ;
;4168;(01100101) (145) (101) (65)    ;(00100000) (40) (32) (20)   ;(01100110) (146) (102) (66)   ;(01101100) (154) (108) (6C)   ;(01100001) (141) (97) (61)   ;(01110011) (163) (115) (73)   ;(01101000) (150) (104) (68)   ;(01101001) (151) (105) (69)   ;
;4176;(01101110) (156) (110) (6E)    ;(01100111) (147) (103) (67)   ;(00101100) (54) (44) (2C)   ;(00100000) (40) (32) (20)   ;(01110100) (164) (116) (74)   ;(01100101) (145) (101) (65)   ;(01110011) (163) (115) (73)   ;(01110100) (164) (116) (74)   ;
;4184;(00100000) (40) (32) (20)    ;(01100110) (146) (102) (66)   ;(01100001) (141) (97) (61)   ;(01101001) (151) (105) (69)   ;(01101100) (154) (108) (6C)   ;(01100101) (145) (101) (65)   ;(01100100) (144) (100) (64)   ;(00101110) (56) (46) (2E)   ;
;4192;(00001010) (12) (10) (0A)    ;(00000000) (0) (0) (00)   ;(01010100) (124) (84) (54)   ;(01100101) (145) (101) (65)   ;(01110011) (163) (115) (73)   ;(01110100) (164) (116) (74)   ;(01101001) (151) (105) (69)   ;(01101110) (156) (110) (6E)   ;
;4200;(01100111) (147) (103) (67)    ;(00111010) (72) (58) (3A)   ;(00100000) (40) (32) (20)   ;(01010010) (122) (82) (52)   ;(01000001) (101) (65) (41)   ;(01001101) (115) (77) (4D)   ;(00000000) (0) (0) (00)   ;(01010100) (124) (84) (54)   ;
;4208;(01100101) (145) (101) (65)    ;(01110011) (163) (115) (73)   ;(01110100) (164) (116) (74)   ;(01101001) (151) (105) (69)   ;(01101110) (156) (110) (6E)   ;(01100111) (147) (103) (67)   ;(00111010) (72) (58) (3A)   ;(00100000) (40) (32) (20)   ;
;4216;(01010110) (126) (86) (56)    ;(01010010) (122) (82) (52)   ;(01000001) (101) (65) (41)   ;(01001101) (115) (77) (4D)   ;(00000000) (0) (0) (00)   ;(01010100) (124) (84) (54)   ;(01100101) (145) (101) (65)   ;(01110011) (163) (115) (73)   ;
;4224;(01110100) (164) (116) (74)    ;(01101001) (151) (105) (69)   ;(01101110) (156) (110) (6E)   ;(01100111) (147) (103) (67)   ;(00111010) (72) (58) (3A)   ;(00100000) (40) (32) (20)   ;(01000011) (103) (67) (43)   ;(01101000) (150) (104) (68)   ;
;4232;(01100001) (141) (97) (61)    ;(01110010) (162) (114) (72)   ;(01010010) (122) (82) (52)   ;(01000001) (101) (65) (41)   ;(01001101) (115) (77) (4D)   ;(00000000) (0) (0) (00)   ;(01010100) (124) (84) (54)   ;(01100101) (145) (101) (65)   ;
;4240;(01110011) (163) (115) (73)    ;(01110100) (164) (116) (74)   ;(01101001) (151) (105) (69)   ;(01101110) (156) (110) (6E)   ;(01100111) (147) (103) (67)   ;(00111010) (72) (58) (3A)   ;(00100000) (40) (32) (20)   ;(01000011) (103) (67) (43)   ;
;4248;(01101000) (150) (104) (68)    ;(01100001) (141) (97) (61)   ;(01110010) (162) (114) (72)   ;(01010010) (122) (82) (52)   ;(01000001) (101) (65) (41)   ;(01001101) (115) (77) (4D)   ;(00100000) (40) (32) (20)   ;(01110010) (162) (114) (72)   ;
;4256;(01100101) (145) (101) (65)    ;(01100100) (144) (100) (64)   ;(01100101) (145) (101) (65)   ;(01100110) (146) (102) (66)   ;(01101001) (151) (105) (69)   ;(01101110) (156) (110) (6E)   ;(01101001) (151) (105) (69)   ;(01110100) (164) (116) (74)   ;
;4264;(01101001) (151) (105) (69)    ;(01101111) (157) (111) (6F)   ;(01101110) (156) (110) (6E)   ;(00000000) (0) (0) (00)   ;(01010100) (124) (84) (54)   ;(01100101) (145) (101) (65)   ;(01110011) (163) (115) (73)   ;(01110100) (164) (116) (74)   ;
;4272;(01101001) (151) (105) (69)    ;(01101110) (156) (110) (6E)   ;(01100111) (147) (103) (67)   ;(00111010) (72) (58) (3A)   ;(00100000) (40) (32) (20)   ;(01001011) (113) (75) (4B)   ;(01100101) (145) (101) (65)   ;(01111001) (171) (121) (79)   ;
;4280;(01100010) (142) (98) (62)    ;(01101111) (157) (111) (6F)   ;(01100001) (141) (97) (61)   ;(01110010) (162) (114) (72)   ;(01100100) (144) (100) (64)   ;(00100000) (40) (32) (20)   ;(01101001) (151) (105) (69)   ;(01101110) (156) (110) (6E)   ;
;4288;(01110000) (160) (112) (70)    ;(01110101) (165) (117) (75)   ;(01110100) (164) (116) (74)   ;(00000000) (0) (0) (00)   ;(00001010) (12) (10) (0A)   ;(00001010) (12) (10) (0A)   ;(00001010) (12) (10) (0A)   ;(00100000) (40) (32) (20)   ;
;4296;(00100000) (40) (32) (20)    ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;
;4304;(00100000) (40) (32) (20)    ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(01010100) (124) (84) (54)   ;(01100101) (145) (101) (65)   ;(01110011) (163) (115) (73)   ;
;4312;(01110100) (164) (116) (74)    ;(01101001) (151) (105) (69)   ;(01101110) (156) (110) (6E)   ;(01100111) (147) (103) (67)   ;(00100000) (40) (32) (20)   ;(01110100) (164) (116) (74)   ;(01101000) (150) (104) (68)   ;(01100101) (145) (101) (65)   ;
;4320;(00100000) (40) (32) (20)    ;(01110000) (160) (112) (70)   ;(01110010) (162) (114) (72)   ;(01101001) (151) (105) (69)   ;(01101110) (156) (110) (6E)   ;(01110100) (164) (116) (74)   ;(01100110) (146) (102) (66)   ;(00100000) (40) (32) (20)   ;
;4328;(01000011) (103) (67) (43)    ;(00100000) (40) (32) (20)   ;(01100110) (146) (102) (66)   ;(01110101) (165) (117) (75)   ;(01101110) (156) (110) (6E)   ;(01100011) (143) (99) (63)   ;(01110100) (164) (116) (74)   ;(01101001) (151) (105) (69)   ;
;4336;(01101111) (157) (111) (6F)    ;(01101110) (156) (110) (6E)   ;(00100000) (40) (32) (20)   ;(01100001) (141) (97) (61)   ;(01101110) (156) (110) (6E)   ;(01100100) (144) (100) (64)   ;(00100000) (40) (32) (20)   ;(01101110) (156) (110) (6E)   ;
;4344;(01100101) (145) (101) (65)    ;(01110111) (167) (119) (77)   ;(01101100) (154) (108) (6C)   ;(01101001) (151) (105) (69)   ;(01101110) (156) (110) (6E)   ;(01100101) (145) (101) (65)   ;(01110011) (163) (115) (73)   ;(00100000) (40) (32) (20)   ;
;4352;(01011100) (134) (92) (5C)    ;(01101110) (156) (110) (6E)   ;(00000000) (0) (0) (00)   ;(00110001) (61) (49) (31)   ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;
;4360;(00101010) (52) (42) (2A)    ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;
;4368;(00101010) (52) (42) (2A)    ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;
;4376;(00100000) (40) (32) (20)    ;(01011010) (132) (90) (5A)   ;(00111000) (70) (56) (38)   ;(00110000) (60) (48) (30)   ;(01010011) (123) (83) (53)   ;(01101111) (157) (111) (6F)   ;(01000011) (103) (67) (43)   ;(00100000) (40) (32) (20)   ;
;4384;(00101010) (52) (42) (2A)    ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;
;4392;(00101010) (52) (42) (2A)    ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;
;4400;(00101010) (52) (42) (2A)    ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;(00000000) (0) (0) (00)   ;(00110010) (62) (50) (32)   ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;
;4408;(00101010) (52) (42) (2A)    ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;
;4416;(00101010) (52) (42) (2A)    ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;
;4424;(00101010) (52) (42) (2A)    ;(00101010) (52) (42) (2A)   ;(00100000) (40) (32) (20)   ;(01011010) (132) (90) (5A)   ;(00111000) (70) (56) (38)   ;(00110000) (60) (48) (30)   ;(01010011) (123) (83) (53)   ;(01101111) (157) (111) (6F)   ;
;4432;(01000011) (103) (67) (43)    ;(00100000) (40) (32) (20)   ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;
;4440;(00101010) (52) (42) (2A)    ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;
;4448;(00101010) (52) (42) (2A)    ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;(00000000) (0) (0) (00)   ;(00110011) (63) (51) (33)   ;
;4456;(00101010) (52) (42) (2A)    ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;
;4464;(00101010) (52) (42) (2A)    ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;
;4472;(00101010) (52) (42) (2A)    ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;(00100000) (40) (32) (20)   ;(01011010) (132) (90) (5A)   ;(00111000) (70) (56) (38)   ;(00110000) (60) (48) (30)   ;
;4480;(01010011) (123) (83) (53)    ;(01101111) (157) (111) (6F)   ;(01000011) (103) (67) (43)   ;(00100000) (40) (32) (20)   ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;
;4488;(00101010) (52) (42) (2A)    ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;
;4496;(00101010) (52) (42) (2A)    ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;
;4504;(00000000) (0) (0) (00)    ;(00111100) (74) (60) (3C)   ;(00111100) (74) (60) (3C)   ;(00100000) (40) (32) (20)   ;(01100101) (145) (101) (65)   ;(01101110) (156) (110) (6E)   ;(01100100) (144) (100) (64)   ;(00111110) (76) (62) (3E)   ;
;4512;(00111110) (76) (62) (3E)    ;(00000000) (0) (0) (00)   ;(00001010) (12) (10) (0A)   ;(00111110) (76) (62) (3E)   ;(00111110) (76) (62) (3E)   ;(00111110) (76) (62) (3E)   ;(01110000) (160) (112) (70)   ;(01110010) (162) (114) (72)   ;
;4520;(01101001) (151) (105) (69)    ;(01101110) (156) (110) (6E)   ;(01110100) (164) (116) (74)   ;(01101001) (151) (105) (69)   ;(01101110) (156) (110) (6E)   ;(01100111) (147) (103) (67)   ;(00100000) (40) (32) (20)   ;(01100001) (141) (97) (61)   ;
;4528;(01100110) (146) (102) (66)    ;(01110100) (164) (116) (74)   ;(01100101) (145) (101) (65)   ;(01110010) (162) (114) (72)   ;(00001010) (12) (10) (0A)   ;(00001010) (12) (10) (0A)   ;(00001010) (12) (10) (0A)   ;(00001010) (12) (10) (0A)   ;
;4536;(00111110) (76) (62) (3E)    ;(00111110) (76) (62) (3E)   ;(00111110) (76) (62) (3E)   ;(01100110) (146) (102) (66)   ;(01101111) (157) (111) (6F)   ;(01110101) (165) (117) (75)   ;(01110010) (162) (114) (72)   ;(00100000) (40) (32) (20)   ;
;4544;(01101110) (156) (110) (6E)    ;(01100101) (145) (101) (65)   ;(01110111) (167) (119) (77)   ;(00100000) (40) (32) (20)   ;(01101100) (154) (108) (6C)   ;(01101001) (151) (105) (69)   ;(01101110) (156) (110) (6E)   ;(01100101) (145) (101) (65)   ;
;4552;(01110011) (163) (115) (73)    ;(00001010) (12) (10) (0A)   ;(00000000) (0) (0) (00)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00110001) (61) (49) (31)   ;
;4560;(00001010) (12) (10) (0A)    ;(00000000) (0) (0) (00)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00110010) (62) (50) (32)   ;(00001010) (12) (10) (0A)   ;
;4568;(00000000) (0) (0) (00)    ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00110011) (63) (51) (33)   ;(00001010) (12) (10) (0A)   ;(00001010) (12) (10) (0A)   ;
;4576;(00000000) (0) (0) (00)    ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00110100) (64) (52) (34)   ;(00001010) (12) (10) (0A)   ;(00000000) (0) (0) (00)   ;
;4584;(01010100) (124) (84) (54)    ;(01100101) (145) (101) (65)   ;(01110011) (163) (115) (73)   ;(01110100) (164) (116) (74)   ;(01101001) (151) (105) (69)   ;(01101110) (156) (110) (6E)   ;(01100111) (147) (103) (67)   ;(00100000) (40) (32) (20)   ;
;4592;(01100011) (143) (99) (63)    ;(01101000) (150) (104) (68)   ;(01100001) (141) (97) (61)   ;(01110010) (162) (114) (72)   ;(00100000) (40) (32) (20)   ;(01110100) (164) (116) (74)   ;(01111001) (171) (121) (79)   ;(01110000) (160) (112) (70)   ;
;4600;(01100101) (145) (101) (65)    ;(00100000) (40) (32) (20)   ;(01110011) (163) (115) (73)   ;(01110100) (164) (116) (74)   ;(01101111) (157) (111) (6F)   ;(01110010) (162) (114) (72)   ;(01100001) (141) (97) (61)   ;(01100111) (147) (103) (67)   ;
;4608;(01100101) (145) (101) (65)    ;(00100000) (40) (32) (20)   ;(01100001) (141) (97) (61)   ;(01101110) (156) (110) (6E)   ;(01100100) (144) (100) (64)   ;(00100000) (40) (32) (20)   ;(01110010) (162) (114) (72)   ;(01100101) (145) (101) (65)   ;
;4616;(01110100) (164) (116) (74)    ;(01110010) (162) (114) (72)   ;(01101001) (151) (105) (69)   ;(01100101) (145) (101) (65)   ;(01110110) (166) (118) (76)   ;(01100101) (145) (101) (65)   ;(00100000) (40) (32) (20)   ;(01110101) (165) (117) (75)   ;
;4624;(01110011) (163) (115) (73)    ;(01101001) (151) (105) (69)   ;(01101110) (156) (110) (6E)   ;(01100111) (147) (103) (67)   ;(00100000) (40) (32) (20)   ;(01110111) (167) (119) (77)   ;(01110010) (162) (114) (72)   ;(01101001) (151) (105) (69)   ;
;4632;(01110100) (164) (116) (74)    ;(01100101) (145) (101) (65)   ;(01001101) (115) (77) (4D)   ;(01100101) (145) (101) (65)   ;(01101101) (155) (109) (6D)   ;(01101111) (157) (111) (6F)   ;(01110010) (162) (114) (72)   ;(01111001) (171) (121) (79)   ;
;4640;(00101000) (50) (40) (28)    ;(00101001) (51) (41) (29)   ;(00100000) (40) (32) (20)   ;(01100110) (146) (102) (66)   ;(01110101) (165) (117) (75)   ;(01101110) (156) (110) (6E)   ;(01100011) (143) (99) (63)   ;(01110100) (164) (116) (74)   ;
;4648;(01101001) (151) (105) (69)    ;(01101111) (157) (111) (6F)   ;(01101110) (156) (110) (6E)   ;(00001010) (12) (10) (0A)   ;(00000000) (0) (0) (00)   ;(01000011) (103) (67) (43)   ;(01101111) (157) (111) (6F)   ;(01101110) (156) (110) (6E)   ;
;4656;(01100110) (146) (102) (66)    ;(01101001) (151) (105) (69)   ;(01110010) (162) (114) (72)   ;(01101101) (155) (109) (6D)   ;(01110011) (163) (115) (73)   ;(00100000) (40) (32) (20)   ;(01110100) (164) (116) (74)   ;(01101000) (150) (104) (68)   ;
;4664;(01100001) (141) (97) (61)    ;(01110100) (164) (116) (74)   ;(00100000) (40) (32) (20)   ;(01100001) (141) (97) (61)   ;(00100000) (40) (32) (20)   ;(01110011) (163) (115) (73)   ;(01101001) (151) (105) (69)   ;(01101110) (156) (110) (6E)   ;
;4672;(01100111) (147) (103) (67)    ;(01101100) (154) (108) (6C)   ;(01100101) (145) (101) (65)   ;(00100000) (40) (32) (20)   ;(01100010) (142) (98) (62)   ;(01111001) (171) (121) (79)   ;(01110100) (164) (116) (74)   ;(01100101) (145) (101) (65)   ;
;4680;(00100000) (40) (32) (20)    ;(01101001) (151) (105) (69)   ;(01110011) (163) (115) (73)   ;(00100000) (40) (32) (20)   ;(01100010) (142) (98) (62)   ;(01100101) (145) (101) (65)   ;(01101001) (151) (105) (69)   ;(01101110) (156) (110) (6E)   ;
;4688;(01100111) (147) (103) (67)    ;(00100000) (40) (32) (20)   ;(01110111) (167) (119) (77)   ;(01110010) (162) (114) (72)   ;(01101001) (151) (105) (69)   ;(01110100) (164) (116) (74)   ;(01110100) (164) (116) (74)   ;(01100101) (145) (101) (65)   ;
;4696;(01101110) (156) (110) (6E)    ;(00000000) (0) (0) (00)   ;(01110111) (167) (119) (77)   ;(01110010) (162) (114) (72)   ;(01101001) (151) (105) (69)   ;(01110100) (164) (116) (74)   ;(01110100) (164) (116) (74)   ;(01100101) (145) (101) (65)   ;
;4704;(01101110) (156) (110) (6E)    ;(00100000) (40) (32) (20)   ;(01110101) (165) (117) (75)   ;(01110000) (160) (112) (70)   ;(00100000) (40) (32) (20)   ;(01100100) (144) (100) (64)   ;(01101111) (157) (111) (6F)   ;(01110111) (167) (119) (77)   ;
;4712;(01101110) (156) (110) (6E)    ;(00000000) (0) (0) (00)   ;(01110111) (167) (119) (77)   ;(01110010) (162) (114) (72)   ;(01101001) (151) (105) (69)   ;(01110100) (164) (116) (74)   ;(01110100) (164) (116) (74)   ;(01100101) (145) (101) (65)   ;
;4720;(01101110) (156) (110) (6E)    ;(00100000) (40) (32) (20)   ;(01100100) (144) (100) (64)   ;(01101111) (157) (111) (6F)   ;(01110111) (167) (119) (77)   ;(01101110) (156) (110) (6E)   ;(00100000) (40) (32) (20)   ;(01110101) (165) (117) (75)   ;
;4728;(01110000) (160) (112) (70)    ;(00000000) (0) (0) (00)   ;(00100101) (45) (37) (25)   ;(01100100) (144) (100) (64)   ;(00000000) (0) (0) (00)   ;(00001010) (12) (10) (0A)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;
;4736;(00100000) (40) (32) (20)    ;(00100000) (40) (32) (20)   ;(01010100) (124) (84) (54)   ;(01100101) (145) (101) (65)   ;(01110011) (163) (115) (73)   ;(01110100) (164) (116) (74)   ;(01101001) (151) (105) (69)   ;(01101110) (156) (110) (6E)   ;
;4744;(01100111) (147) (103) (67)    ;(00100000) (40) (32) (20)   ;(01110100) (164) (116) (74)   ;(01101000) (150) (104) (68)   ;(01100101) (145) (101) (65)   ;(00100000) (40) (32) (20)   ;(01110010) (162) (114) (72)   ;(01100001) (141) (97) (61)   ;
;4752;(01101110) (156) (110) (6E)    ;(01100100) (144) (100) (64)   ;(01101111) (157) (111) (6F)   ;(01101101) (155) (109) (6D)   ;(00100000) (40) (32) (20)   ;(01101001) (151) (105) (69)   ;(01101110) (156) (110) (6E)   ;(01110100) (164) (116) (74)   ;
;4760;(01100101) (145) (101) (65)    ;(01100111) (147) (103) (67)   ;(01100101) (145) (101) (65)   ;(01110010) (162) (114) (72)   ;(00100000) (40) (32) (20)   ;(01101110) (156) (110) (6E)   ;(01110101) (165) (117) (75)   ;(01101101) (155) (109) (6D)   ;
;4768;(01100010) (142) (98) (62)    ;(01100101) (145) (101) (65)   ;(01110010) (162) (114) (72)   ;(00100000) (40) (32) (20)   ;(01100111) (147) (103) (67)   ;(01100101) (145) (101) (65)   ;(01101110) (156) (110) (6E)   ;(01100101) (145) (101) (65)   ;
;4776;(01110010) (162) (114) (72)    ;(01100001) (141) (97) (61)   ;(01110100) (164) (116) (74)   ;(01101111) (157) (111) (6F)   ;(01110010) (162) (114) (72)   ;(00100000) (40) (32) (20)   ;(01101001) (151) (105) (69)   ;(01101101) (155) (109) (6D)   ;
;4784;(01110000) (160) (112) (70)    ;(01101100) (154) (108) (6C)   ;(01100101) (145) (101) (65)   ;(01101101) (155) (109) (6D)   ;(01100101) (145) (101) (65)   ;(01101110) (156) (110) (6E)   ;(01110100) (164) (116) (74)   ;(01100101) (145) (101) (65)   ;
;4792;(01100100) (144) (100) (64)    ;(00100000) (40) (32) (20)   ;(01101001) (151) (105) (69)   ;(01101110) (156) (110) (6E)   ;(01110011) (163) (115) (73)   ;(01101001) (151) (105) (69)   ;(01100100) (144) (100) (64)   ;(01100101) (145) (101) (65)   ;
;4800;(00100000) (40) (32) (20)    ;(01110100) (164) (116) (74)   ;(01101000) (150) (104) (68)   ;(01110010) (162) (114) (72)   ;(00100000) (40) (32) (20)   ;(01000110) (106) (70) (46)   ;(01010000) (120) (80) (50)   ;(01000111) (107) (71) (47)   ;
;4808;(01000001) (101) (65) (41)    ;(00100000) (40) (32) (20)   ;(00101000) (50) (40) (28)   ;(00110000) (60) (48) (30)   ;(01111000) (170) (120) (78)   ;(01000110) (106) (70) (46)   ;(01000110) (106) (70) (46)   ;(01000011) (103) (67) (43)   ;
;4816;(01000001) (101) (65) (41)    ;(00101001) (51) (41) (29)   ;(00000000) (0) (0) (00)   ;(00100101) (45) (37) (25)   ;(01101001) (151) (105) (69)   ;(00100000) (40) (32) (20)   ;(00000000) (0) (0) (00)   ;(11011101) (335) (221) (DD)   ;
;4824;(11100101) (345) (229) (E5)    ;(00111010) (72) (58) (3A)   ;(11001101) (315) (205) (CD)   ;(01010111) (127) (87) (57)   ;(10110111) (267) (183) (B7)   ;(00101000) (50) (40) (28)   ;(00001010) (12) (10) (0A)   ;(00111101) (75) (61) (3D)   ;
;4832;(10110111) (267) (183) (B7)    ;(00101000) (50) (40) (28)   ;(00000011) (3) (3) (03)   ;(11011101) (335) (221) (DD)   ;(11100001) (341) (225) (E1)   ;(11001001) (311) (201) (C9)   ;(11011101) (335) (221) (DD)   ;(11100001) (341) (225) (E1)   ;
;4840;(11001001) (311) (201) (C9)    ;(11011101) (335) (221) (DD)   ;(00100001) (41) (33) (21)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(11011101) (335) (221) (DD)   ;(00111001) (71) (57) (39)   ;(11011101) (335) (221) (DD)   ;
;4848;(01111110) (176) (126) (7E)    ;(00000100) (4) (4) (04)   ;(11111110) (376) (254) (FE)   ;(00001101) (15) (13) (0D)   ;(00101000) (50) (40) (28)   ;(00110111) (67) (55) (37)   ;(11111110) (376) (254) (FE)   ;(00001010) (12) (10) (0A)   ;
;4856;(00101010) (52) (42) (2A)    ;(11010000) (320) (208) (D0)   ;(01010111) (127) (87) (57)   ;(00101000) (50) (40) (28)   ;(00001101) (15) (13) (0D)   ;(01110111) (167) (119) (77)   ;(00100011) (43) (35) (23)   ;(00111010) (72) (58) (3A)   ;
;4864;(11001100) (314) (204) (CC)    ;(01010111) (127) (87) (57)   ;(01000111) (107) (71) (47)   ;(00111010) (72) (58) (3A)   ;(11001111) (317) (207) (CF)   ;(01010111) (127) (87) (57)   ;(00111100) (74) (60) (3C)   ;(10111000) (270) (184) (B8)   ;
;4872;(00111000) (70) (56) (38)    ;(00011101) (35) (29) (1D)   ;(00111010) (72) (58) (3A)   ;(11001011) (313) (203) (CB)   ;(01010111) (127) (87) (57)   ;(01000111) (107) (71) (47)   ;(00111010) (72) (58) (3A)   ;(11001110) (316) (206) (CE)   ;
;4880;(01010111) (127) (87) (57)    ;(00111100) (74) (60) (3C)   ;(10111000) (270) (184) (B8)   ;(00111000) (70) (56) (38)   ;(00000001) (1) (1) (01)   ;(00111101) (75) (61) (3D)   ;(00110010) (62) (50) (32)   ;(11001110) (316) (206) (CE)   ;
;4888;(01010111) (127) (87) (57)    ;(00111010) (72) (58) (3A)   ;(11001111) (317) (207) (CF)   ;(01010111) (127) (87) (57)   ;(01001111) (117) (79) (4F)   ;(10101111) (257) (175) (AF)   ;(01000111) (107) (71) (47)   ;(11101101) (355) (237) (ED)   ;
;4896;(01000010) (102) (66) (42)    ;(00111010) (72) (58) (3A)   ;(11001100) (314) (204) (CC)   ;(01010111) (127) (87) (57)   ;(01001111) (117) (79) (4F)   ;(00001001) (11) (9) (09)   ;(10101111) (257) (175) (AF)   ;(00100010) (42) (34) (22)   ;
;4904;(11010000) (320) (208) (D0)    ;(01010111) (127) (87) (57)   ;(00110010) (62) (50) (32)   ;(11001111) (317) (207) (CF)   ;(01010111) (127) (87) (57)   ;(11011101) (335) (221) (DD)   ;(11100001) (341) (225) (E1)   ;(11001001) (311) (201) (C9)   ;
;4912;(00111010) (72) (58) (3A)    ;(11001100) (314) (204) (CC)   ;(01010111) (127) (87) (57)   ;(01101111) (157) (111) (6F)   ;(00100110) (46) (38) (26)   ;(00000000) (0) (0) (00)   ;(00111010) (72) (58) (3A)   ;(11001110) (316) (206) (CE)   ;
;4920;(01010111) (127) (87) (57)    ;(01001111) (117) (79) (4F)   ;(00000110) (6) (6) (06)   ;(00000000) (0) (0) (00)   ;(11001101) (315) (205) (CD)   ;(01001011) (113) (75) (4B)   ;(00010011) (23) (19) (13)   ;(11100101) (345) (229) (E5)   ;
;4928;(00101010) (52) (42) (2A)    ;(11010100) (324) (212) (D4)   ;(01010111) (127) (87) (57)   ;(01000100) (104) (68) (44)   ;(01001101) (115) (77) (4D)   ;(11100001) (341) (225) (E1)   ;(00001001) (11) (9) (09)   ;(00100010) (42) (34) (22)   ;
;4936;(11010000) (320) (208) (D0)    ;(01010111) (127) (87) (57)   ;(11001001) (311) (201) (C9)   ;(01111000) (170) (120) (78)   ;(10110001) (261) (177) (B1)   ;(00100000) (40) (32) (20)   ;(00000100) (4) (4) (04)   ;(00100001) (41) (33) (21)   ;
;4944;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(11001001) (311) (201) (C9)   ;(01010100) (124) (84) (54)   ;(01011101) (135) (93) (5D)   ;(00001011) (13) (11) (0B)   ;(01111000) (170) (120) (78)   ;(10110001) (261) (177) (B1)   ;
;4952;(11001000) (310) (200) (C8)    ;(00011001) (31) (25) (19)   ;(00011000) (30) (24) (18)   ;(11111001) (371) (249) (F9)   ;(00011000) (30) (24) (18)   ;(11111110) (376) (254) (FE)   ;(11100101) (345) (229) (E5)   ;(11000101) (305) (197) (C5)   ;
;4960;(11110101) (365) (245) (F5)    ;(00101010) (52) (42) (2A)   ;(11010100) (324) (212) (D4)   ;(01010111) (127) (87) (57)   ;(00000001) (1) (1) (01)   ;(10111111) (277) (191) (BF)   ;(00010010) (22) (18) (12)   ;(00111110) (76) (62) (3E)   ;
;4968;(00100000) (40) (32) (20)    ;(01110111) (167) (119) (77)   ;(00100011) (43) (35) (23)   ;(00001011) (13) (11) (0B)   ;(01111000) (170) (120) (78)   ;(10110001) (261) (177) (B1)   ;(00100000) (40) (32) (20)   ;(11110111) (367) (247) (F7)   ;
;4976;(11110001) (361) (241) (F1)    ;(11000001) (301) (193) (C1)   ;(11100001) (341) (225) (E1)   ;(11001001) (311) (201) (C9)   ;(11000001) (301) (193) (C1)   ;(11100001) (341) (225) (E1)   ;(11100101) (345) (229) (E5)   ;(11000101) (305) (197) (C5)   ;
;4984;(11111101) (375) (253) (FD)    ;(00100001) (41) (33) (21)   ;(00000100) (4) (4) (04)   ;(00000000) (0) (0) (00)   ;(11111101) (375) (253) (FD)   ;(00111001) (71) (57) (39)   ;(11111101) (375) (253) (FD)   ;(01010110) (126) (86) (56)   ;
;4992;(00000000) (0) (0) (00)    ;(01110010) (162) (114) (72)   ;(11001001) (311) (201) (C9)   ;(11000001) (301) (193) (C1)   ;(11100001) (341) (225) (E1)   ;(11100101) (345) (229) (E5)   ;(11000101) (305) (197) (C5)   ;(01001110) (116) (78) (4E)   ;
;5000;(00100011) (43) (35) (23)    ;(01000110) (106) (70) (46)   ;(01101001) (151) (105) (69)   ;(11001001) (311) (201) (C9)   ;(11010001) (321) (209) (D1)   ;(11100001) (341) (225) (E1)   ;(11000001) (301) (193) (C1)   ;(11000101) (305) (197) (C5)   ;
;5008;(11100101) (345) (229) (E5)    ;(11010101) (325) (213) (D5)   ;(01110001) (161) (113) (71)   ;(00100011) (43) (35) (23)   ;(01110000) (160) (112) (70)   ;(11001001) (311) (201) (C9)   ;(11000001) (301) (193) (C1)   ;(11100001) (341) (225) (E1)   ;
;5016;(11100101) (345) (229) (E5)    ;(11000101) (305) (197) (C5)   ;(01001110) (116) (78) (4E)   ;(00100011) (43) (35) (23)   ;(01100110) (146) (102) (66)   ;(01101001) (151) (105) (69)   ;(11001001) (311) (201) (C9)   ;(11011101) (335) (221) (DD)   ;
;5024;(11100101) (345) (229) (E5)    ;(11011101) (335) (221) (DD)   ;(00100001) (41) (33) (21)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(11011101) (335) (221) (DD)   ;(00111001) (71) (57) (39)   ;(11011101) (335) (221) (DD)   ;
;5032;(01000110) (106) (70) (46)    ;(00000110) (6) (6) (06)   ;(11000101) (305) (197) (C5)   ;(00110011) (63) (51) (33)   ;(00100001) (41) (33) (21)   ;(11001110) (316) (206) (CE)   ;(01010111) (127) (87) (57)   ;(11100101) (345) (229) (E5)   ;
;5040;(11001101) (315) (205) (CD)    ;(01110100) (164) (116) (74)   ;(00010011) (23) (19) (13)   ;(11110001) (361) (241) (F1)   ;(00110011) (63) (51) (33)   ;(11011101) (335) (221) (DD)   ;(01000110) (106) (70) (46)   ;(00000100) (4) (4) (04)   ;
;5048;(11000101) (305) (197) (C5)    ;(00110011) (63) (51) (33)   ;(00100001) (41) (33) (21)   ;(11001111) (317) (207) (CF)   ;(01010111) (127) (87) (57)   ;(11100101) (345) (229) (E5)   ;(11001101) (315) (205) (CD)   ;(01110100) (164) (116) (74)   ;
;5056;(00010011) (23) (19) (13)    ;(00110011) (63) (51) (33)   ;(00100001) (41) (33) (21)   ;(11010100) (324) (212) (D4)   ;(01010111) (127) (87) (57)   ;(11100011) (343) (227) (E3)   ;(11001101) (315) (205) (CD)   ;(10010110) (226) (150) (96)   ;
;5064;(00010011) (23) (19) (13)    ;(11100011) (343) (227) (E3)   ;(00100001) (41) (33) (21)   ;(11001100) (314) (204) (CC)   ;(01010111) (127) (87) (57)   ;(11100101) (345) (229) (E5)   ;(11001101) (315) (205) (CD)   ;(10000011) (203) (131) (83)   ;
;5072;(00010011) (23) (19) (13)    ;(11110001) (361) (241) (F1)   ;(11000001) (301) (193) (C1)   ;(00100110) (46) (38) (26)   ;(00000000) (0) (0) (00)   ;(11000101) (305) (197) (C5)   ;(11011101) (335) (221) (DD)   ;(01011110) (136) (94) (5E)   ;
;5080;(00000110) (6) (6) (06)    ;(11011101) (335) (221) (DD)   ;(01010110) (126) (86) (56)   ;(00000111) (7) (7) (07)   ;(11010101) (325) (213) (D5)   ;(11100101) (345) (229) (E5)   ;(11001101) (315) (205) (CD)   ;(10110001) (261) (177) (B1)   ;
;5088;(00010100) (24) (20) (14)    ;(11110001) (361) (241) (F1)   ;(11110001) (361) (241) (F1)   ;(11000001) (301) (193) (C1)   ;(00001001) (11) (9) (09)   ;(11011101) (335) (221) (DD)   ;(01001110) (116) (78) (4E)   ;(00000100) (4) (4) (04)   ;
;5096;(11011101) (335) (221) (DD)    ;(01000110) (106) (70) (46)   ;(00000101) (5) (5) (05)   ;(00001001) (11) (9) (09)   ;(11100101) (345) (229) (E5)   ;(00100001) (41) (33) (21)   ;(11010000) (320) (208) (D0)   ;(01010111) (127) (87) (57)   ;
;5104;(11100101) (345) (229) (E5)    ;(11001101) (315) (205) (CD)   ;(10001100) (214) (140) (8C)   ;(00010011) (23) (19) (13)   ;(11110001) (361) (241) (F1)   ;(11110001) (361) (241) (F1)   ;(11011101) (335) (221) (DD)   ;(11100001) (341) (225) (E1)   ;
;5112;(11001001) (311) (201) (C9)    ;(11011011) (333) (219) (DB)   ;(10000000) (200) (128) (80)   ;(01101111) (157) (111) (6F)   ;(11001001) (311) (201) (C9)   ;(11001101) (315) (205) (CD)   ;(11111001) (371) (249) (F9)   ;(00010011) (23) (19) (13)   ;
;5120;(01111101) (175) (125) (7D)    ;(10110111) (267) (183) (B7)   ;(11000000) (300) (192) (C0)   ;(11001101) (315) (205) (CD)   ;(11111001) (371) (249) (F9)   ;(00010011) (23) (19) (13)   ;(00011000) (30) (24) (18)   ;(11111000) (370) (248) (F8)   ;
;5128;(00100001) (41) (33) (21)    ;(00000010) (2) (2) (02)   ;(00000000) (0) (0) (00)   ;(00111001) (71) (57) (39)   ;(01111110) (176) (126) (7E)   ;(11010011) (323) (211) (D3)   ;(00000001) (1) (1) (01)   ;(11001001) (311) (201) (C9)   ;
;5136;(11011011) (333) (219) (DB)    ;(00110000) (60) (48) (30)   ;(01101111) (157) (111) (6F)   ;(11001001) (311) (201) (C9)   ;(11011011) (333) (219) (DB)   ;(00100000) (40) (32) (20)   ;(01101111) (157) (111) (6F)   ;(11001001) (311) (201) (C9)   ;
;5144;(00100001) (41) (33) (21)    ;(00000010) (2) (2) (02)   ;(00000000) (0) (0) (00)   ;(00111001) (71) (57) (39)   ;(01111110) (176) (126) (7E)   ;(11010011) (323) (211) (D3)   ;(00000010) (2) (2) (02)   ;(11001001) (311) (201) (C9)   ;
;5152;(00100001) (41) (33) (21)    ;(00000010) (2) (2) (02)   ;(00000000) (0) (0) (00)   ;(00111001) (71) (57) (39)   ;(01111110) (176) (126) (7E)   ;(11010011) (323) (211) (D3)   ;(00000011) (3) (3) (03)   ;(11001001) (311) (201) (C9)   ;
;5160;(00100001) (41) (33) (21)    ;(00000010) (2) (2) (02)   ;(00000000) (0) (0) (00)   ;(00111001) (71) (57) (39)   ;(01111110) (176) (126) (7E)   ;(11010011) (323) (211) (D3)   ;(00010000) (20) (16) (10)   ;(11001001) (311) (201) (C9)   ;
;5168;(00100001) (41) (33) (21)    ;(00000010) (2) (2) (02)   ;(00000000) (0) (0) (00)   ;(00111001) (71) (57) (39)   ;(01111110) (176) (126) (7E)   ;(11010011) (323) (211) (D3)   ;(00010001) (21) (17) (11)   ;(11001001) (311) (201) (C9)   ;
;5176;(00100001) (41) (33) (21)    ;(00000010) (2) (2) (02)   ;(00000000) (0) (0) (00)   ;(00111001) (71) (57) (39)   ;(01111110) (176) (126) (7E)   ;(11010011) (323) (211) (D3)   ;(00010010) (22) (18) (12)   ;(11001001) (311) (201) (C9)   ;
;5184;(00100001) (41) (33) (21)    ;(00000010) (2) (2) (02)   ;(00000000) (0) (0) (00)   ;(00111001) (71) (57) (39)   ;(01111110) (176) (126) (7E)   ;(11010011) (323) (211) (D3)   ;(00010011) (23) (19) (13)   ;(11001001) (311) (201) (C9)   ;
;5192;(11011011) (333) (219) (DB)    ;(00100001) (41) (33) (21)   ;(01101111) (157) (111) (6F)   ;(11001001) (311) (201) (C9)   ;(00100001) (41) (33) (21)   ;(00000010) (2) (2) (02)   ;(00000000) (0) (0) (00)   ;(00111001) (71) (57) (39)   ;
;5200;(01111110) (176) (126) (7E)    ;(11010011) (323) (211) (D3)   ;(00010101) (25) (21) (15)   ;(11001001) (311) (201) (C9)   ;(11011101) (335) (221) (DD)   ;(11100101) (345) (229) (E5)   ;(11011101) (335) (221) (DD)   ;(00100001) (41) (33) (21)   ;
;5208;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(11011101) (335) (221) (DD)   ;(00111001) (71) (57) (39)   ;(11110101) (365) (245) (F5)   ;(00100001) (41) (33) (21)   ;(11011100) (334) (220) (DC)   ;(01010111) (127) (87) (57)   ;
;5216;(11100101) (345) (229) (E5)    ;(11001101) (315) (205) (CD)   ;(10010110) (226) (150) (96)   ;(00010011) (23) (19) (13)   ;(11110001) (361) (241) (F1)   ;(11011101) (335) (221) (DD)   ;(01001110) (116) (78) (4E)   ;(00000100) (4) (4) (04)   ;
;5224;(00000110) (6) (6) (06)    ;(00000000) (0) (0) (00)   ;(00001001) (11) (9) (09)   ;(00110011) (63) (51) (33)   ;(00110011) (63) (51) (33)   ;(11100101) (345) (229) (E5)   ;(00011110) (36) (30) (1E)   ;(00000000) (0) (0) (00)   ;
;5232;(11011101) (335) (221) (DD)    ;(01101110) (156) (110) (6E)   ;(00000101) (5) (5) (05)   ;(11011101) (335) (221) (DD)   ;(01100110) (146) (102) (66)   ;(00000110) (6) (6) (06)   ;(11100101) (345) (229) (E5)   ;(11001101) (315) (205) (CD)   ;
;5240;(00010100) (24) (20) (14)    ;(00010101) (25) (21) (15)   ;(11110001) (361) (241) (F1)   ;(01001011) (113) (75) (4B)   ;(00000110) (6) (6) (06)   ;(00000000) (0) (0) (00)   ;(01111001) (171) (121) (79)   ;(10010101) (225) (149) (95)   ;
;5248;(01111000) (170) (120) (78)    ;(10011100) (234) (156) (9C)   ;(00110000) (60) (48) (30)   ;(00100100) (44) (36) (24)   ;(11011101) (335) (221) (DD)   ;(01101110) (156) (110) (6E)   ;(00000101) (5) (5) (05)   ;(11011101) (335) (221) (DD)   ;
;5256;(01100110) (146) (102) (66)    ;(00000110) (6) (6) (06)   ;(00010110) (26) (22) (16)   ;(00000000) (0) (0) (00)   ;(00011001) (31) (25) (19)   ;(01010110) (126) (86) (56)   ;(01001011) (113) (75) (4B)   ;(00000110) (6) (6) (06)   ;
;5264;(00000000) (0) (0) (00)    ;(01111001) (171) (121) (79)   ;(11011101) (335) (221) (DD)   ;(10000110) (206) (134) (86)   ;(11111110) (376) (254) (FE)   ;(01001111) (117) (79) (4F)   ;(01111000) (170) (120) (78)   ;(11011101) (335) (221) (DD)   ;
;5272;(10001110) (216) (142) (8E)    ;(11111111) (377) (255) (FF)   ;(01000111) (107) (71) (47)   ;(11010101) (325) (213) (D5)   ;(11010101) (325) (213) (D5)   ;(00110011) (63) (51) (33)   ;(11000101) (305) (197) (C5)   ;(11001101) (315) (205) (CD)   ;
;5280;(01110100) (164) (116) (74)    ;(00010011) (23) (19) (13)   ;(11110001) (361) (241) (F1)   ;(00110011) (63) (51) (33)   ;(11010001) (321) (209) (D1)   ;(00011100) (34) (28) (1C)   ;(00011000) (30) (24) (18)   ;(11001000) (310) (200) (C8)   ;
;5288;(11011101) (335) (221) (DD)    ;(11111001) (371) (249) (F9)   ;(11011101) (335) (221) (DD)   ;(11100001) (341) (225) (E1)   ;(11001001) (311) (201) (C9)   ;(11011011) (333) (219) (DB)   ;(01110000) (160) (112) (70)   ;(01101111) (157) (111) (6F)   ;
;5296;(11001001) (311) (201) (C9)    ;(11110001) (361) (241) (F1)   ;(11000001) (301) (193) (C1)   ;(11010001) (321) (209) (D1)   ;(11010101) (325) (213) (D5)   ;(11000101) (305) (197) (C5)   ;(11110101) (365) (245) (F5)   ;(10101111) (257) (175) (AF)   ;
;5304;(01101111) (157) (111) (6F)    ;(10110000) (260) (176) (B0)   ;(00000110) (6) (6) (06)   ;(00010000) (20) (16) (10)   ;(00100000) (40) (32) (20)   ;(00000100) (4) (4) (04)   ;(00000110) (6) (6) (06)   ;(00001000) (10) (8) (08)   ;
;5312;(01111001) (171) (121) (79)    ;(00101001) (51) (41) (29)   ;(11001011) (313) (203) (CB)   ;(00010001) (21) (17) (11)   ;(00010111) (27) (23) (17)   ;(00110000) (60) (48) (30)   ;(00000001) (1) (1) (01)   ;(00011001) (31) (25) (19)   ;
;5320;(00010000) (20) (16) (10)    ;(11110111) (367) (247) (F7)   ;(11001001) (311) (201) (C9)   ;(00100001) (41) (33) (21)   ;(00000010) (2) (2) (02)   ;(00000000) (0) (0) (00)   ;(00111001) (71) (57) (39)   ;(01111110) (176) (126) (7E)   ;
;5328;(11110101) (365) (245) (F5)    ;(00110011) (63) (51) (33)   ;(11001101) (315) (205) (CD)   ;(11010111) (327) (215) (D7)   ;(00010010) (22) (18) (12)   ;(00110011) (63) (51) (33)   ;(11001001) (311) (201) (C9)   ;(00100001) (41) (33) (21)   ;
;5336;(00000100) (4) (4) (04)    ;(00000000) (0) (0) (00)   ;(00111001) (71) (57) (39)   ;(01001110) (116) (78) (4E)   ;(00100011) (43) (35) (23)   ;(01000110) (106) (70) (46)   ;(11000101) (305) (197) (C5)   ;(00100001) (41) (33) (21)   ;
;5344;(00000100) (4) (4) (04)    ;(00000000) (0) (0) (00)   ;(00111001) (71) (57) (39)   ;(01001110) (116) (78) (4E)   ;(00100011) (43) (35) (23)   ;(01000110) (106) (70) (46)   ;(11000101) (305) (197) (C5)   ;(00100001) (41) (33) (21)   ;
;5352;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(11100101) (345) (229) (E5)   ;(00100001) (41) (33) (21)   ;(11001011) (313) (203) (CB)   ;(00010100) (24) (20) (14)   ;(11100101) (345) (229) (E5)   ;(11001101) (315) (205) (CD)   ;
;5360;(00100110) (46) (38) (26)    ;(00010110) (26) (22) (16)   ;(11110001) (361) (241) (F1)   ;(11110001) (361) (241) (F1)   ;(11110001) (361) (241) (F1)   ;(11110001) (361) (241) (F1)   ;(11001001) (311) (201) (C9)   ;(00100001) (41) (33) (21)   ;
;5368;(00000100) (4) (4) (04)    ;(00000000) (0) (0) (00)   ;(00111001) (71) (57) (39)   ;(11100101) (345) (229) (E5)   ;(00100001) (41) (33) (21)   ;(00000100) (4) (4) (04)   ;(00000000) (0) (0) (00)   ;(00111001) (71) (57) (39)   ;
;5376;(01001110) (116) (78) (4E)    ;(00100011) (43) (35) (23)   ;(01000110) (106) (70) (46)   ;(11000101) (305) (197) (C5)   ;(00100001) (41) (33) (21)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(11100101) (345) (229) (E5)   ;
;5384;(00100001) (41) (33) (21)    ;(11001011) (313) (203) (CB)   ;(00010100) (24) (20) (14)   ;(11100101) (345) (229) (E5)   ;(11001101) (315) (205) (CD)   ;(00100110) (46) (38) (26)   ;(00010110) (26) (22) (16)   ;(11110001) (361) (241) (F1)   ;
;5392;(11110001) (361) (241) (F1)    ;(11110001) (361) (241) (F1)   ;(11110001) (361) (241) (F1)   ;(11001001) (311) (201) (C9)   ;(11000001) (301) (193) (C1)   ;(11100001) (341) (225) (E1)   ;(11100101) (345) (229) (E5)   ;(11000101) (305) (197) (C5)   ;
;5400;(10101111) (257) (175) (AF)    ;(01000111) (107) (71) (47)   ;(01001111) (117) (79) (4F)   ;(11101101) (355) (237) (ED)   ;(10110001) (261) (177) (B1)   ;(00100001) (41) (33) (21)   ;(11111111) (377) (255) (FF)   ;(11111111) (377) (255) (FF)   ;
;5408;(11101101) (355) (237) (ED)    ;(01000010) (102) (66) (42)   ;(11001001) (311) (201) (C9)   ;(00100001) (41) (33) (21)   ;(00000010) (2) (2) (02)   ;(00000000) (0) (0) (00)   ;(00111001) (71) (57) (39)   ;(01111110) (176) (126) (7E)   ;
;5416;(11000110) (306) (198) (C6)    ;(00110000) (60) (48) (30)   ;(01000111) (107) (71) (47)   ;(00111110) (76) (62) (3E)   ;(00111001) (71) (57) (39)   ;(10010000) (220) (144) (90)   ;(00110000) (60) (48) (30)   ;(00010000) (20) (16) (10)   ;
;5424;(01111000) (170) (120) (78)    ;(11000110) (306) (198) (C6)   ;(00000111) (7) (7) (07)   ;(01000111) (107) (71) (47)   ;(00100001) (41) (33) (21)   ;(00000011) (3) (3) (03)   ;(00000000) (0) (0) (00)   ;(00111001) (71) (57) (39)   ;
;5432;(11001011) (313) (203) (CB)    ;(01000110) (106) (70) (46)   ;(00101000) (50) (40) (28)   ;(00000100) (4) (4) (04)   ;(01111000) (170) (120) (78)   ;(11000110) (306) (198) (C6)   ;(00100000) (40) (32) (20)   ;(01000111) (107) (71) (47)   ;
;5440;(00100001) (41) (33) (21)    ;(00000110) (6) (6) (06)   ;(00000000) (0) (0) (00)   ;(00111001) (71) (57) (39)   ;(01111110) (176) (126) (7E)   ;(00100011) (43) (35) (23)   ;(01100110) (146) (102) (66)   ;(01101111) (157) (111) (6F)   ;
;5448;(11100101) (345) (229) (E5)    ;(11000101) (305) (197) (C5)   ;(00110011) (63) (51) (33)   ;(00100001) (41) (33) (21)   ;(00000111) (7) (7) (07)   ;(00000000) (0) (0) (00)   ;(00111001) (71) (57) (39)   ;(01111110) (176) (126) (7E)   ;
;5456;(00100011) (43) (35) (23)    ;(01100110) (146) (102) (66)   ;(01101111) (157) (111) (6F)   ;(11001101) (315) (205) (CD)   ;(01000101) (105) (69) (45)   ;(00100000) (40) (32) (20)   ;(11110001) (361) (241) (F1)   ;(00110011) (63) (51) (33)   ;
;5464;(11001001) (311) (201) (C9)    ;(11011101) (335) (221) (DD)   ;(11100101) (345) (229) (E5)   ;(11011101) (335) (221) (DD)   ;(00100001) (41) (33) (21)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(11011101) (335) (221) (DD)   ;
;5472;(00111001) (71) (57) (39)    ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(00000100) (4) (4) (04)   ;(00000111) (7) (7) (07)   ;(00000111) (7) (7) (07)   ;(00000111) (7) (7) (07)   ;(00000111) (7) (7) (07)   ;
;5480;(11100110) (346) (230) (E6)    ;(00001111) (17) (15) (0F)   ;(01000111) (107) (71) (47)   ;(11011101) (335) (221) (DD)   ;(01101110) (156) (110) (6E)   ;(00001000) (10) (8) (08)   ;(11011101) (335) (221) (DD)   ;(01100110) (146) (102) (66)   ;
;5488;(00001001) (11) (9) (09)    ;(11100101) (345) (229) (E5)   ;(11011101) (335) (221) (DD)   ;(01101110) (156) (110) (6E)   ;(00000110) (6) (6) (06)   ;(11011101) (335) (221) (DD)   ;(01100110) (146) (102) (66)   ;(00000111) (7) (7) (07)   ;
;5496;(11100101) (345) (229) (E5)    ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(00000101) (5) (5) (05)   ;(11110101) (365) (245) (F5)   ;(00110011) (63) (51) (33)   ;(11000101) (305) (197) (C5)   ;(00110011) (63) (51) (33)   ;
;5504;(11001101) (315) (205) (CD)    ;(00100011) (43) (35) (23)   ;(00010101) (25) (21) (15)   ;(00100001) (41) (33) (21)   ;(00000110) (6) (6) (06)   ;(00000000) (0) (0) (00)   ;(00111001) (71) (57) (39)   ;(11111001) (371) (249) (F9)   ;
;5512;(11011101) (335) (221) (DD)    ;(01111110) (176) (126) (7E)   ;(00000100) (4) (4) (04)   ;(11100110) (346) (230) (E6)   ;(00001111) (17) (15) (0F)   ;(01000111) (107) (71) (47)   ;(11011101) (335) (221) (DD)   ;(01101110) (156) (110) (6E)   ;
;5520;(00001000) (10) (8) (08)    ;(11011101) (335) (221) (DD)   ;(01100110) (146) (102) (66)   ;(00001001) (11) (9) (09)   ;(11100101) (345) (229) (E5)   ;(11011101) (335) (221) (DD)   ;(01101110) (156) (110) (6E)   ;(00000110) (6) (6) (06)   ;
;5528;(11011101) (335) (221) (DD)    ;(01100110) (146) (102) (66)   ;(00000111) (7) (7) (07)   ;(11100101) (345) (229) (E5)   ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(00000101) (5) (5) (05)   ;(11110101) (365) (245) (F5)   ;
;5536;(00110011) (63) (51) (33)    ;(11000101) (305) (197) (C5)   ;(00110011) (63) (51) (33)   ;(11001101) (315) (205) (CD)   ;(00100011) (43) (35) (23)   ;(00010101) (25) (21) (15)   ;(00100001) (41) (33) (21)   ;(00000110) (6) (6) (06)   ;
;5544;(00000000) (0) (0) (00)    ;(00111001) (71) (57) (39)   ;(11111001) (371) (249) (F9)   ;(11011101) (335) (221) (DD)   ;(11100001) (341) (225) (E1)   ;(11001001) (311) (201) (C9)   ;(11011101) (335) (221) (DD)   ;(11100101) (345) (229) (E5)   ;
;5552;(11011101) (335) (221) (DD)    ;(00100001) (41) (33) (21)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(11011101) (335) (221) (DD)   ;(00111001) (71) (57) (39)   ;(00100001) (41) (33) (21)   ;(11111001) (371) (249) (F9)   ;
;5560;(11111111) (377) (255) (FF)    ;(00111001) (71) (57) (39)   ;(11111001) (371) (249) (F9)   ;(11011101) (335) (221) (DD)   ;(01011110) (136) (94) (5E)   ;(00000100) (4) (4) (04)   ;(11011101) (335) (221) (DD)   ;(01010110) (126) (86) (56)   ;
;5568;(00000101) (5) (5) (05)    ;(11010101) (325) (213) (D5)   ;(00100001) (41) (33) (21)   ;(00000011) (3) (3) (03)   ;(00000000) (0) (0) (00)   ;(00111001) (71) (57) (39)   ;(11101011) (353) (235) (EB)   ;(00000001) (1) (1) (01)   ;
;5576;(00000100) (4) (4) (04)    ;(00000000) (0) (0) (00)   ;(11101101) (355) (237) (ED)   ;(10110000) (260) (176) (B0)   ;(11010001) (321) (209) (D1)   ;(00100001) (41) (33) (21)   ;(00000100) (4) (4) (04)   ;(00000000) (0) (0) (00)   ;
;5584;(00011001) (31) (25) (19)    ;(01001101) (115) (77) (4D)   ;(01000100) (104) (68) (44)   ;(11011101) (335) (221) (DD)   ;(00110110) (66) (54) (36)   ;(11111001) (371) (249) (F9)   ;(00100000) (40) (32) (20)   ;(00001010) (12) (10) (0A)   ;
;5592;(10000111) (207) (135) (87)    ;(11011101) (335) (221) (DD)   ;(01110111) (167) (119) (77)   ;(11111110) (376) (254) (FE)   ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(11111101) (375) (253) (FD)   ;(11001011) (313) (203) (CB)   ;
;5600;(00000111) (7) (7) (07)    ;(11100110) (346) (230) (E6)   ;(00000001) (1) (1) (01)   ;(11011101) (335) (221) (DD)   ;(01110111) (167) (119) (77)   ;(11111111) (377) (255) (FF)   ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;
;5608;(11111110) (376) (254) (FE)    ;(11011101) (335) (221) (DD)   ;(10110110) (266) (182) (B6)   ;(11111111) (377) (255) (FF)   ;(00000010) (2) (2) (02)   ;(11110101) (365) (245) (F5)   ;(11110001) (361) (241) (F1)   ;(11011101) (335) (221) (DD)   ;
;5616;(11001011) (313) (203) (CB)    ;(11111010) (372) (250) (FA)   ;(00100110) (46) (38) (26)   ;(11011101) (335) (221) (DD)   ;(11001011) (313) (203) (CB)   ;(11111011) (373) (251) (FB)   ;(00010110) (26) (22) (16)   ;(11011101) (335) (221) (DD)   ;
;5624;(11001011) (313) (203) (CB)    ;(11111100) (374) (252) (FC)   ;(00010110) (26) (22) (16)   ;(11011101) (335) (221) (DD)   ;(11001011) (313) (203) (CB)   ;(11111101) (375) (253) (FD)   ;(00010110) (26) (22) (16)   ;(00001010) (12) (10) (0A)   ;
;5632;(01101111) (157) (111) (6F)    ;(11011101) (335) (221) (DD)   ;(10010110) (226) (150) (96)   ;(00000110) (6) (6) (06)   ;(00111000) (70) (56) (38)   ;(00001001) (11) (9) (09)   ;(01111101) (175) (125) (7D)   ;(11011101) (335) (221) (DD)   ;
;5640;(10010110) (226) (150) (96)    ;(00000110) (6) (6) (06)   ;(00000010) (2) (2) (02)   ;(11011101) (335) (221) (DD)   ;(11001011) (313) (203) (CB)   ;(11111010) (372) (250) (FA)   ;(11000110) (306) (198) (C6)   ;(11011101) (335) (221) (DD)   ;
;5648;(00110101) (65) (53) (35)    ;(11111001) (371) (249) (F9)   ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(11111001) (371) (249) (F9)   ;(10110111) (267) (183) (B7)   ;(00100000) (40) (32) (20)   ;(10111111) (277) (191) (BF)   ;
;5656;(00100001) (41) (33) (21)    ;(00000001) (1) (1) (01)   ;(00000000) (0) (0) (00)   ;(00111001) (71) (57) (39)   ;(00000001) (1) (1) (01)   ;(00000100) (4) (4) (04)   ;(00000000) (0) (0) (00)   ;(11101101) (355) (237) (ED)   ;
;5664;(10110000) (260) (176) (B0)    ;(11011101) (335) (221) (DD)   ;(11111001) (371) (249) (F9)   ;(11011101) (335) (221) (DD)   ;(11100001) (341) (225) (E1)   ;(11001001) (311) (201) (C9)   ;(11011101) (335) (221) (DD)   ;(11100101) (345) (229) (E5)   ;
;5672;(11011101) (335) (221) (DD)    ;(00100001) (41) (33) (21)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(11011101) (335) (221) (DD)   ;(00111001) (71) (57) (39)   ;(00100001) (41) (33) (21)   ;(11001010) (312) (202) (CA)   ;
;5680;(11111111) (377) (255) (FF)    ;(00111001) (71) (57) (39)   ;(11111001) (371) (249) (F9)   ;(11011101) (335) (221) (DD)   ;(00110110) (66) (54) (36)   ;(11100010) (342) (226) (E2)   ;(00000000) (0) (0) (00)   ;(11011101) (335) (221) (DD)   ;
;5688;(00110110) (66) (54) (36)    ;(11100011) (343) (227) (E3)   ;(00000000) (0) (0) (00)   ;(00100001) (41) (33) (21)   ;(00001010) (12) (10) (0A)   ;(00000000) (0) (0) (00)   ;(00111001) (71) (57) (39)   ;(11011101) (335) (221) (DD)   ;
;5696;(01110101) (165) (117) (75)    ;(11111110) (376) (254) (FE)   ;(11011101) (335) (221) (DD)   ;(01110100) (164) (116) (74)   ;(11111111) (377) (255) (FF)   ;(00100001) (41) (33) (21)   ;(00000101) (5) (5) (05)   ;(00000000) (0) (0) (00)   ;
;5704;(00111001) (71) (57) (39)    ;(11011101) (335) (221) (DD)   ;(01110101) (165) (117) (75)   ;(11111100) (374) (252) (FC)   ;(11011101) (335) (221) (DD)   ;(01110100) (164) (116) (74)   ;(11111101) (375) (253) (FD)   ;(00100001) (41) (33) (21)   ;
;5712;(00001010) (12) (10) (0A)    ;(00000000) (0) (0) (00)   ;(00111001) (71) (57) (39)   ;(11011101) (335) (221) (DD)   ;(01110101) (165) (117) (75)   ;(11111010) (372) (250) (FA)   ;(11011101) (335) (221) (DD)   ;(01110100) (164) (116) (74)   ;
;5720;(11111011) (373) (251) (FB)    ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(11111010) (372) (250) (FA)   ;(11000110) (306) (198) (C6)   ;(00000100) (4) (4) (04)   ;(11011101) (335) (221) (DD)   ;(01110111) (167) (119) (77)   ;
;5728;(11111000) (370) (248) (F8)    ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(11111011) (373) (251) (FB)   ;(11001110) (316) (206) (CE)   ;(00000000) (0) (0) (00)   ;(11011101) (335) (221) (DD)   ;(01110111) (167) (119) (77)   ;
;5736;(11111001) (371) (249) (F9)    ;(11011101) (335) (221) (DD)   ;(01101110) (156) (110) (6E)   ;(00001000) (10) (8) (08)   ;(11011101) (335) (221) (DD)   ;(01100110) (146) (102) (66)   ;(00001001) (11) (9) (09)   ;(01001110) (116) (78) (4E)   ;
;5744;(00100011) (43) (35) (23)    ;(11011101) (335) (221) (DD)   ;(01110101) (165) (117) (75)   ;(00001000) (10) (8) (08)   ;(11011101) (335) (221) (DD)   ;(01110100) (164) (116) (74)   ;(00001001) (11) (9) (09)   ;(11011101) (335) (221) (DD)   ;
;5752;(01110001) (161) (113) (71)    ;(11110111) (367) (247) (F7)   ;(01111001) (171) (121) (79)   ;(10110111) (267) (183) (B7)   ;(11001010) (312) (202) (CA)   ;(00101111) (57) (47) (2F)   ;(00100000) (40) (32) (20)   ;(11011101) (335) (221) (DD)   ;
;5760;(01111110) (176) (126) (7E)    ;(11110111) (367) (247) (F7)   ;(11010110) (326) (214) (D6)   ;(00100101) (45) (37) (25)   ;(11000010) (302) (194) (C2)   ;(00001100) (14) (12) (0C)   ;(00100000) (40) (32) (20)   ;(11011101) (335) (221) (DD)   ;
;5768;(00110110) (66) (54) (36)    ;(11100001) (341) (225) (E1)   ;(00000000) (0) (0) (00)   ;(11011101) (335) (221) (DD)   ;(00110110) (66) (54) (36)   ;(11100000) (340) (224) (E0)   ;(00000000) (0) (0) (00)   ;(11011101) (335) (221) (DD)   ;
;5776;(00110110) (66) (54) (36)    ;(11011111) (337) (223) (DF)   ;(00000000) (0) (0) (00)   ;(11011101) (335) (221) (DD)   ;(00110110) (66) (54) (36)   ;(11011110) (336) (222) (DE)   ;(00000000) (0) (0) (00)   ;(11011101) (335) (221) (DD)   ;
;5784;(00110110) (66) (54) (36)    ;(11011101) (335) (221) (DD)   ;(00000000) (0) (0) (00)   ;(11011101) (335) (221) (DD)   ;(00110110) (66) (54) (36)   ;(11011100) (334) (220) (DC)   ;(00000000) (0) (0) (00)   ;(11011101) (335) (221) (DD)   ;
;5792;(00110110) (66) (54) (36)    ;(11011011) (333) (219) (DB)   ;(00000000) (0) (0) (00)   ;(11011101) (335) (221) (DD)   ;(00110110) (66) (54) (36)   ;(11011010) (332) (218) (DA)   ;(00000000) (0) (0) (00)   ;(11011101) (335) (221) (DD)   ;
;5800;(00110110) (66) (54) (36)    ;(11010010) (322) (210) (D2)   ;(00000000) (0) (0) (00)   ;(11011101) (335) (221) (DD)   ;(00110110) (66) (54) (36)   ;(11110110) (366) (246) (F6)   ;(00000000) (0) (0) (00)   ;(11011101) (335) (221) (DD)   ;
;5808;(00110110) (66) (54) (36)    ;(11010001) (321) (209) (D1)   ;(11111111) (377) (255) (FF)   ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(00001000) (10) (8) (08)   ;(11011101) (335) (221) (DD)   ;(01110111) (167) (119) (77)   ;
;5816;(11110100) (364) (244) (F4)    ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(00001001) (11) (9) (09)   ;(11011101) (335) (221) (DD)   ;(01110111) (167) (119) (77)   ;(11110101) (365) (245) (F5)   ;(11011101) (335) (221) (DD)   ;
;5824;(01101110) (156) (110) (6E)    ;(11110100) (364) (244) (F4)   ;(11011101) (335) (221) (DD)   ;(01100110) (146) (102) (66)   ;(11110101) (365) (245) (F5)   ;(01111110) (176) (126) (7E)   ;(11011101) (335) (221) (DD)   ;(01110111) (167) (119) (77)   ;
;5832;(11110011) (363) (243) (F3)    ;(11011101) (335) (221) (DD)   ;(00110100) (64) (52) (34)   ;(11110100) (364) (244) (F4)   ;(00100000) (40) (32) (20)   ;(00000011) (3) (3) (03)   ;(11011101) (335) (221) (DD)   ;(00110100) (64) (52) (34)   ;
;5840;(11110101) (365) (245) (F5)    ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(11110100) (364) (244) (F4)   ;(11011101) (335) (221) (DD)   ;(01110111) (167) (119) (77)   ;(00001000) (10) (8) (08)   ;(11011101) (335) (221) (DD)   ;
;5848;(01111110) (176) (126) (7E)    ;(11110101) (365) (245) (F5)   ;(11011101) (335) (221) (DD)   ;(01110111) (167) (119) (77)   ;(00001001) (11) (9) (09)   ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(11110011) (363) (243) (F3)   ;
;5856;(11010110) (326) (214) (D6)    ;(00100101) (45) (37) (25)   ;(00100000) (40) (32) (20)   ;(00100011) (43) (35) (23)   ;(11011101) (335) (221) (DD)   ;(01101110) (156) (110) (6E)   ;(00000110) (6) (6) (06)   ;(11011101) (335) (221) (DD)   ;
;5864;(01100110) (146) (102) (66)    ;(00000111) (7) (7) (07)   ;(11100101) (345) (229) (E5)   ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(11110011) (363) (243) (F3)   ;(11110101) (365) (245) (F5)   ;(00110011) (63) (51) (33)   ;
;5872;(11011101) (335) (221) (DD)    ;(01101110) (156) (110) (6E)   ;(00000100) (4) (4) (04)   ;(11011101) (335) (221) (DD)   ;(01100110) (146) (102) (66)   ;(00000101) (5) (5) (05)   ;(11001101) (315) (205) (CD)   ;(01000101) (105) (69) (45)   ;
;5880;(00100000) (40) (32) (20)    ;(11110001) (361) (241) (F1)   ;(00110011) (63) (51) (33)   ;(11011101) (335) (221) (DD)   ;(00110100) (64) (52) (34)   ;(11100010) (342) (226) (E2)   ;(11000010) (302) (194) (C2)   ;(01101001) (151) (105) (69)   ;
;5888;(00010110) (26) (22) (16)    ;(11011101) (335) (221) (DD)   ;(00110100) (64) (52) (34)   ;(11100011) (343) (227) (E3)   ;(11000011) (303) (195) (C3)   ;(01101001) (151) (105) (69)   ;(00010110) (26) (22) (16)   ;(11011101) (335) (221) (DD)   ;
;5896;(01111110) (176) (126) (7E)    ;(11110011) (363) (243) (F3)   ;(11010110) (326) (214) (D6)   ;(00110000) (60) (48) (30)   ;(00111000) (70) (56) (38)   ;(00111110) (76) (62) (3E)   ;(00111110) (76) (62) (3E)   ;(00111001) (71) (57) (39)   ;
;5904;(11011101) (335) (221) (DD)    ;(10010110) (226) (150) (96)   ;(11110011) (363) (243) (F3)   ;(00111000) (70) (56) (38)   ;(00110111) (67) (55) (37)   ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(11010001) (321) (209) (D1)   ;
;5912;(00111100) (74) (60) (3C)    ;(00100000) (40) (32) (20)   ;(00011100) (34) (28) (1C)   ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(11110110) (366) (246) (F6)   ;(01001111) (117) (79) (4F)   ;(10000111) (207) (135) (87)   ;
;5920;(10000111) (207) (135) (87)    ;(10000001) (201) (129) (81)   ;(10000111) (207) (135) (87)   ;(11011101) (335) (221) (DD)   ;(01110111) (167) (119) (77)   ;(11110010) (362) (242) (F2)   ;(11011101) (335) (221) (DD)   ;(10000110) (206) (134) (86)   ;
;5928;(11110011) (363) (243) (F3)    ;(11000110) (306) (198) (C6)   ;(11010000) (320) (208) (D0)   ;(11011101) (335) (221) (DD)   ;(01110111) (167) (119) (77)   ;(11110110) (366) (246) (F6)   ;(10110111) (267) (183) (B7)   ;(00100000) (40) (32) (20)   ;
;5936;(10001110) (216) (142) (8E)    ;(11011101) (335) (221) (DD)   ;(00110110) (66) (54) (36)   ;(11100000) (340) (224) (E0)   ;(00000001) (1) (1) (01)   ;(00011000) (30) (24) (18)   ;(10001000) (210) (136) (88)   ;(11011101) (335) (221) (DD)   ;
;5944;(01101110) (156) (110) (6E)    ;(11010001) (321) (209) (D1)   ;(01001101) (115) (77) (4D)   ;(00101001) (51) (41) (29)   ;(00101001) (51) (41) (29)   ;(00001001) (11) (9) (09)   ;(00101001) (51) (41) (29)   ;(11011101) (335) (221) (DD)   ;
;5952;(01001110) (116) (78) (4E)    ;(11110011) (363) (243) (F3)   ;(00001001) (11) (9) (09)   ;(01111101) (175) (125) (7D)   ;(11000110) (306) (198) (C6)   ;(11010000) (320) (208) (D0)   ;(11011101) (335) (221) (DD)   ;(01110111) (167) (119) (77)   ;
;5960;(11010001) (321) (209) (D1)    ;(11000011) (303) (195) (C3)   ;(10111111) (277) (191) (BF)   ;(00010110) (26) (22) (16)   ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(11110011) (363) (243) (F3)   ;(11010110) (326) (214) (D6)   ;
;5968;(00101110) (56) (46) (2E)    ;(00100000) (40) (32) (20)   ;(00001110) (16) (14) (0E)   ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(11010001) (321) (209) (D1)   ;(00111100) (74) (60) (3C)   ;(11000010) (302) (194) (C2)   ;
;5976;(10111111) (277) (191) (BF)    ;(00010110) (26) (22) (16)   ;(11011101) (335) (221) (DD)   ;(00110110) (66) (54) (36)   ;(11010001) (321) (209) (D1)   ;(00000000) (0) (0) (00)   ;(11000011) (303) (195) (C3)   ;(10111111) (277) (191) (BF)   ;
;5984;(00010110) (26) (22) (16)    ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(11110011) (363) (243) (F3)   ;(11010110) (326) (214) (D6)   ;(01100001) (141) (97) (61)   ;(00111000) (70) (56) (38)   ;(00010001) (21) (17) (11)   ;
;5992;(00111110) (76) (62) (3E)    ;(01111010) (172) (122) (7A)   ;(11011101) (335) (221) (DD)   ;(10010110) (226) (150) (96)   ;(11110011) (363) (243) (F3)   ;(00111000) (70) (56) (38)   ;(00001010) (12) (10) (0A)   ;(11011101) (335) (221) (DD)   ;
;6000;(11001011) (313) (203) (CB)    ;(11110011) (363) (243) (F3)   ;(10101110) (256) (174) (AE)   ;(11011101) (335) (221) (DD)   ;(00110110) (66) (54) (36)   ;(11011001) (331) (217) (D9)   ;(00000001) (1) (1) (01)   ;(00011000) (30) (24) (18)   ;
;6008;(00000100) (4) (4) (04)    ;(11011101) (335) (221) (DD)   ;(00110110) (66) (54) (36)   ;(11011001) (331) (217) (D9)   ;(00000000) (0) (0) (00)   ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(11110011) (363) (243) (F3)   ;
;6016;(11010110) (326) (214) (D6)    ;(00100000) (40) (32) (20)   ;(11001010) (312) (202) (CA)   ;(00011100) (34) (28) (1C)   ;(00011000) (30) (24) (18)   ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(11110011) (363) (243) (F3)   ;
;6024;(11010110) (326) (214) (D6)    ;(00101011) (53) (43) (2B)   ;(11001010) (312) (202) (CA)   ;(00010101) (25) (21) (15)   ;(00011000) (30) (24) (18)   ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(11110011) (363) (243) (F3)   ;
;6032;(11010110) (326) (214) (D6)    ;(00101101) (55) (45) (2D)   ;(00101000) (50) (40) (28)   ;(01111010) (172) (122) (7A)   ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(11110011) (363) (243) (F3)   ;(11010110) (326) (214) (D6)   ;
;6040;(01000010) (102) (66) (42)    ;(11001010) (312) (202) (CA)   ;(00100011) (43) (35) (23)   ;(00011000) (30) (24) (18)   ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(11110011) (363) (243) (F3)   ;(11010110) (326) (214) (D6)   ;
;6048;(01000011) (103) (67) (43)    ;(11001010) (312) (202) (CA)   ;(00110001) (61) (49) (31)   ;(00011000) (30) (24) (18)   ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(11110011) (363) (243) (F3)   ;(11010110) (326) (214) (D6)   ;
;6056;(01000100) (104) (68) (44)    ;(11001010) (312) (202) (CA)   ;(01000111) (107) (71) (47)   ;(00011010) (32) (26) (1A)   ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(11110011) (363) (243) (F3)   ;(11010110) (326) (214) (D6)   ;
;6064;(01000110) (106) (70) (46)    ;(11001010) (312) (202) (CA)   ;(01100011) (143) (99) (63)   ;(00011010) (32) (26) (1A)   ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(11110011) (363) (243) (F3)   ;(11010110) (326) (214) (D6)   ;
;6072;(01001000) (110) (72) (48)    ;(11001010) (312) (202) (CA)   ;(10111111) (277) (191) (BF)   ;(00010110) (26) (22) (16)   ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(11110011) (363) (243) (F3)   ;(11010110) (326) (214) (D6)   ;
;6080;(01001001) (111) (73) (49)    ;(11001010) (312) (202) (CA)   ;(01000111) (107) (71) (47)   ;(00011010) (32) (26) (1A)   ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(11110011) (363) (243) (F3)   ;(11010110) (326) (214) (D6)   ;
;6088;(01001010) (112) (74) (4A)    ;(11001010) (312) (202) (CA)   ;(10111111) (277) (191) (BF)   ;(00010110) (26) (22) (16)   ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(11110011) (363) (243) (F3)   ;(11010110) (326) (214) (D6)   ;
;6096;(01001100) (114) (76) (4C)    ;(00101000) (50) (40) (28)   ;(01010111) (127) (87) (57)   ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(11110011) (363) (243) (F3)   ;(11010110) (326) (214) (D6)   ;(01001111) (117) (79) (4F)   ;
;6104;(11001010) (312) (202) (CA)    ;(01010001) (121) (81) (51)   ;(00011010) (32) (26) (1A)   ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(11110011) (363) (243) (F3)   ;(11010110) (326) (214) (D6)   ;(01010000) (120) (80) (50)   ;
;6112;(11001010) (312) (202) (CA)    ;(10011101) (235) (157) (9D)   ;(00011001) (31) (25) (19)   ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(11110011) (363) (243) (F3)   ;(11010110) (326) (214) (D6)   ;(01010011) (123) (83) (53)   ;
;6120;(11001010) (312) (202) (CA)    ;(01111011) (173) (123) (7B)   ;(00011000) (30) (24) (18)   ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(11110011) (363) (243) (F3)   ;(11010110) (326) (214) (D6)   ;(01010100) (124) (84) (54)   ;
;6128;(11001010) (312) (202) (CA)    ;(10111111) (277) (191) (BF)   ;(00010110) (26) (22) (16)   ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(11110011) (363) (243) (F3)   ;(11010110) (326) (214) (D6)   ;(01010101) (125) (85) (55)   ;
;6136;(11001010) (312) (202) (CA)    ;(01010111) (127) (87) (57)   ;(00011010) (32) (26) (1A)   ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(11110011) (363) (243) (F3)   ;(11010110) (326) (214) (D6)   ;(01011000) (130) (88) (58)   ;
;6144;(11001010) (312) (202) (CA)    ;(01011101) (135) (93) (5D)   ;(00011010) (32) (26) (1A)   ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(11110011) (363) (243) (F3)   ;(11010110) (326) (214) (D6)   ;(01011010) (132) (90) (5A)   ;
;6152;(11001010) (312) (202) (CA)    ;(10111111) (277) (191) (BF)   ;(00010110) (26) (22) (16)   ;(11000011) (303) (195) (C3)   ;(01101001) (151) (105) (69)   ;(00011010) (32) (26) (1A)   ;(11011101) (335) (221) (DD)   ;(00110110) (66) (54) (36)   ;
;6160;(11100001) (341) (225) (E1)    ;(00000001) (1) (1) (01)   ;(11000011) (303) (195) (C3)   ;(10111111) (277) (191) (BF)   ;(00010110) (26) (22) (16)   ;(11011101) (335) (221) (DD)   ;(00110110) (66) (54) (36)   ;(11011111) (337) (223) (DF)   ;
;6168;(00000001) (1) (1) (01)    ;(11000011) (303) (195) (C3)   ;(10111111) (277) (191) (BF)   ;(00010110) (26) (22) (16)   ;(11011101) (335) (221) (DD)   ;(00110110) (66) (54) (36)   ;(11011110) (336) (222) (DE)   ;(00000001) (1) (1) (01)   ;
;6176;(11000011) (303) (195) (C3)    ;(10111111) (277) (191) (BF)   ;(00010110) (26) (22) (16)   ;(11011101) (335) (221) (DD)   ;(00110110) (66) (54) (36)   ;(11011100) (334) (220) (DC)   ;(00000001) (1) (1) (01)   ;(11000011) (303) (195) (C3)   ;
;6184;(10111111) (277) (191) (BF)    ;(00010110) (26) (22) (16)   ;(11011101) (335) (221) (DD)   ;(00110110) (66) (54) (36)   ;(11011011) (333) (219) (DB)   ;(00000001) (1) (1) (01)   ;(11000011) (303) (195) (C3)   ;(10111111) (277) (191) (BF)   ;
;6192;(00010110) (26) (22) (16)    ;(11011101) (335) (221) (DD)   ;(11001011) (313) (203) (CB)   ;(11011100) (334) (220) (DC)   ;(01000110) (106) (70) (46)   ;(00101000) (50) (40) (28)   ;(00010001) (21) (17) (11)   ;(11011101) (335) (221) (DD)   ;
;6200;(01101110) (156) (110) (6E)    ;(00001010) (12) (10) (0A)   ;(11011101) (335) (221) (DD)   ;(01100110) (146) (102) (66)   ;(00001011) (13) (11) (0B)   ;(00100011) (43) (35) (23)   ;(11011101) (335) (221) (DD)   ;(01110101) (165) (117) (75)   ;
;6208;(00001010) (12) (10) (0A)    ;(11011101) (335) (221) (DD)   ;(01110100) (164) (116) (74)   ;(00001011) (13) (11) (0B)   ;(00101011) (53) (43) (2B)   ;(01000110) (106) (70) (46)   ;(00011000) (30) (24) (18)   ;(00010011) (23) (19) (13)   ;
;6216;(11011101) (335) (221) (DD)    ;(01101110) (156) (110) (6E)   ;(00001010) (12) (10) (0A)   ;(11011101) (335) (221) (DD)   ;(01100110) (146) (102) (66)   ;(00001011) (13) (11) (0B)   ;(00100011) (43) (35) (23)   ;(00100011) (43) (35) (23)   ;
;6224;(11011101) (335) (221) (DD)    ;(01110101) (165) (117) (75)   ;(00001010) (12) (10) (0A)   ;(11011101) (335) (221) (DD)   ;(01110100) (164) (116) (74)   ;(00001011) (13) (11) (0B)   ;(00101011) (53) (43) (2B)   ;(00101011) (53) (43) (2B)   ;
;6232;(01000110) (106) (70) (46)    ;(00100011) (43) (35) (23)   ;(01001110) (116) (78) (4E)   ;(11011101) (335) (221) (DD)   ;(01101110) (156) (110) (6E)   ;(00000110) (6) (6) (06)   ;(11011101) (335) (221) (DD)   ;(01100110) (146) (102) (66)   ;
;6240;(00000111) (7) (7) (07)    ;(11100101) (345) (229) (E5)   ;(11000101) (305) (197) (C5)   ;(00110011) (63) (51) (33)   ;(11011101) (335) (221) (DD)   ;(01101110) (156) (110) (6E)   ;(00000100) (4) (4) (04)   ;(11011101) (335) (221) (DD)   ;
;6248;(01100110) (146) (102) (66)    ;(00000101) (5) (5) (05)   ;(11001101) (315) (205) (CD)   ;(01000101) (105) (69) (45)   ;(00100000) (40) (32) (20)   ;(11110001) (361) (241) (F1)   ;(00110011) (63) (51) (33)   ;(11011101) (335) (221) (DD)   ;
;6256;(00110100) (64) (52) (34)    ;(11100010) (342) (226) (E2)   ;(11000010) (302) (194) (C2)   ;(10010011) (223) (147) (93)   ;(00011010) (32) (26) (1A)   ;(11011101) (335) (221) (DD)   ;(00110100) (64) (52) (34)   ;(11100011) (343) (227) (E3)   ;
;6264;(11000011) (303) (195) (C3)    ;(10010011) (223) (147) (93)   ;(00011010) (32) (26) (1A)   ;(00100001) (41) (33) (21)   ;(00001010) (12) (10) (0A)   ;(00000000) (0) (0) (00)   ;(00111001) (71) (57) (39)   ;(11011101) (335) (221) (DD)   ;
;6272;(01110101) (165) (117) (75)    ;(11110100) (364) (244) (F4)   ;(11011101) (335) (221) (DD)   ;(01110100) (164) (116) (74)   ;(11110101) (365) (245) (F5)   ;(11011101) (335) (221) (DD)   ;(01101110) (156) (110) (6E)   ;(00001010) (12) (10) (0A)   ;
;6280;(11011101) (335) (221) (DD)    ;(01100110) (146) (102) (66)   ;(00001011) (13) (11) (0B)   ;(00100011) (43) (35) (23)   ;(00100011) (43) (35) (23)   ;(11011101) (335) (221) (DD)   ;(01110101) (165) (117) (75)   ;(00001010) (12) (10) (0A)   ;
;6288;(11011101) (335) (221) (DD)    ;(01110100) (164) (116) (74)   ;(00001011) (13) (11) (0B)   ;(00101011) (53) (43) (2B)   ;(00101011) (53) (43) (2B)   ;(01001110) (116) (78) (4E)   ;(00100011) (43) (35) (23)   ;(01000110) (106) (70) (46)   ;
;6296;(11011101) (335) (221) (DD)    ;(01101110) (156) (110) (6E)   ;(11110100) (364) (244) (F4)   ;(11011101) (335) (221) (DD)   ;(01100110) (146) (102) (66)   ;(11110101) (365) (245) (F5)   ;(01110001) (161) (113) (71)   ;(00100011) (43) (35) (23)   ;
;6304;(01110000) (160) (112) (70)    ;(11000101) (305) (197) (C5)   ;(11001101) (315) (205) (CD)   ;(00010100) (24) (20) (14)   ;(00010101) (25) (21) (15)   ;(11110001) (361) (241) (F1)   ;(01011101) (135) (93) (5D)   ;(11011101) (335) (221) (DD)   ;
;6312;(01111110) (176) (126) (7E)    ;(11010001) (321) (209) (D1)   ;(00111100) (74) (60) (3C)   ;(00100000) (40) (32) (20)   ;(00000011) (3) (3) (03)   ;(11011101) (335) (221) (DD)   ;(01110011) (163) (115) (73)   ;(11010001) (321) (209) (D1)   ;
;6320;(11011101) (335) (221) (DD)    ;(11001011) (313) (203) (CB)   ;(11100001) (341) (225) (E1)   ;(01000110) (106) (70) (46)   ;(00100000) (40) (32) (20)   ;(01000110) (106) (70) (46)   ;(01111011) (173) (123) (7B)   ;(11011101) (335) (221) (DD)   ;
;6328;(10010110) (226) (150) (96)    ;(11110110) (366) (246) (F6)   ;(00110000) (60) (48) (30)   ;(01000000) (100) (64) (40)   ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(11110110) (366) (246) (F6)   ;(10010011) (223) (147) (93)   ;
;6336;(11011101) (335) (221) (DD)    ;(01110111) (167) (119) (77)   ;(11110010) (362) (242) (F2)   ;(11011101) (335) (221) (DD)   ;(01001110) (116) (78) (4E)   ;(11100010) (342) (226) (E2)   ;(11011101) (335) (221) (DD)   ;(01000110) (106) (70) (46)   ;
;6344;(11100011) (343) (227) (E3)    ;(11011101) (335) (221) (DD)   ;(01010110) (126) (86) (56)   ;(11110010) (362) (242) (F2)   ;(11011101) (335) (221) (DD)   ;(00110101) (65) (53) (35)   ;(11110010) (362) (242) (F2)   ;(01111010) (172) (122) (7A)   ;
;6352;(10110111) (267) (183) (B7)    ;(00101000) (50) (40) (28)   ;(00011101) (35) (29) (1D)   ;(11000101) (305) (197) (C5)   ;(11010101) (325) (213) (D5)   ;(11011101) (335) (221) (DD)   ;(01101110) (156) (110) (6E)   ;(00000110) (6) (6) (06)   ;
;6360;(11011101) (335) (221) (DD)    ;(01100110) (146) (102) (66)   ;(00000111) (7) (7) (07)   ;(11100101) (345) (229) (E5)   ;(00111110) (76) (62) (3E)   ;(00100000) (40) (32) (20)   ;(11110101) (365) (245) (F5)   ;(00110011) (63) (51) (33)   ;
;6368;(11011101) (335) (221) (DD)    ;(01101110) (156) (110) (6E)   ;(00000100) (4) (4) (04)   ;(11011101) (335) (221) (DD)   ;(01100110) (146) (102) (66)   ;(00000101) (5) (5) (05)   ;(11001101) (315) (205) (CD)   ;(01000101) (105) (69) (45)   ;
;6376;(00100000) (40) (32) (20)    ;(11110001) (361) (241) (F1)   ;(00110011) (63) (51) (33)   ;(11010001) (321) (209) (D1)   ;(11000001) (301) (193) (C1)   ;(00000011) (3) (3) (03)   ;(00011000) (30) (24) (18)   ;(11011001) (331) (217) (D9)   ;
;6384;(11011101) (335) (221) (DD)    ;(01110001) (161) (113) (71)   ;(11100010) (342) (226) (E2)   ;(11011101) (335) (221) (DD)   ;(01110000) (160) (112) (70)   ;(11100011) (343) (227) (E3)   ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;
;6392;(11110010) (362) (242) (F2)    ;(11011101) (335) (221) (DD)   ;(01110111) (167) (119) (77)   ;(11110110) (366) (246) (F6)   ;(11011101) (335) (221) (DD)   ;(01010110) (126) (86) (56)   ;(11010001) (321) (209) (D1)   ;(11011101) (335) (221) (DD)   ;
;6400;(01001110) (116) (78) (4E)    ;(11100010) (342) (226) (E2)   ;(11011101) (335) (221) (DD)   ;(01000110) (106) (70) (46)   ;(11100011) (343) (227) (E3)   ;(11011101) (335) (221) (DD)   ;(01101110) (156) (110) (6E)   ;(11110100) (364) (244) (F4)   ;
;6408;(11011101) (335) (221) (DD)    ;(01100110) (146) (102) (66)   ;(11110101) (365) (245) (F5)   ;(01111110) (176) (126) (7E)   ;(00100011) (43) (35) (23)   ;(01100110) (146) (102) (66)   ;(01101111) (157) (111) (6F)   ;(01111110) (176) (126) (7E)   ;
;6416;(11011101) (335) (221) (DD)    ;(01110111) (167) (119) (77)   ;(11110010) (362) (242) (F2)   ;(10110111) (267) (183) (B7)   ;(00101000) (50) (40) (28)   ;(01001010) (112) (74) (4A)   ;(01101010) (152) (106) (6A)   ;(00010101) (25) (21) (15)   ;
;6424;(10101111) (257) (175) (AF)    ;(10010101) (225) (149) (95)   ;(11100010) (342) (226) (E2)   ;(00011111) (37) (31) (1F)   ;(00011001) (31) (25) (19)   ;(11101110) (356) (238) (EE)   ;(10000000) (200) (128) (80)   ;(11110010) (362) (242) (F2)   ;
;6432;(01100000) (140) (96) (60)    ;(00011001) (31) (25) (19)   ;(11000101) (305) (197) (C5)   ;(11010101) (325) (213) (D5)   ;(11011101) (335) (221) (DD)   ;(01101110) (156) (110) (6E)   ;(00000110) (6) (6) (06)   ;(11011101) (335) (221) (DD)   ;
;6440;(01100110) (146) (102) (66)    ;(00000111) (7) (7) (07)   ;(11100101) (345) (229) (E5)   ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(11110010) (362) (242) (F2)   ;(11110101) (365) (245) (F5)   ;(00110011) (63) (51) (33)   ;
;6448;(11011101) (335) (221) (DD)    ;(01101110) (156) (110) (6E)   ;(00000100) (4) (4) (04)   ;(11011101) (335) (221) (DD)   ;(01100110) (146) (102) (66)   ;(00000101) (5) (5) (05)   ;(11001101) (315) (205) (CD)   ;(01000101) (105) (69) (45)   ;
;6456;(00100000) (40) (32) (20)    ;(11110001) (361) (241) (F1)   ;(00110011) (63) (51) (33)   ;(11010001) (321) (209) (D1)   ;(11000001) (301) (193) (C1)   ;(00000011) (3) (3) (03)   ;(11011101) (335) (221) (DD)   ;(01101110) (156) (110) (6E)   ;
;6464;(11110100) (364) (244) (F4)    ;(11011101) (335) (221) (DD)   ;(01100110) (146) (102) (66)   ;(11110101) (365) (245) (F5)   ;(01111110) (176) (126) (7E)   ;(00100011) (43) (35) (23)   ;(01100110) (146) (102) (66)   ;(01101111) (157) (111) (6F)   ;
;6472;(00100011) (43) (35) (23)    ;(11011101) (335) (221) (DD)   ;(01110101) (165) (117) (75)   ;(11110000) (360) (240) (F0)   ;(11011101) (335) (221) (DD)   ;(01110100) (164) (116) (74)   ;(11110001) (361) (241) (F1)   ;(11011101) (335) (221) (DD)   ;
;6480;(01101110) (156) (110) (6E)    ;(11110100) (364) (244) (F4)   ;(11011101) (335) (221) (DD)   ;(01100110) (146) (102) (66)   ;(11110101) (365) (245) (F5)   ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(11110000) (360) (240) (F0)   ;
;6488;(01110111) (167) (119) (77)    ;(00100011) (43) (35) (23)   ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(11110001) (361) (241) (F1)   ;(01110111) (167) (119) (77)   ;(00011000) (30) (24) (18)   ;(10100101) (245) (165) (A5)   ;
;6496;(11011101) (335) (221) (DD)    ;(01110001) (161) (113) (71)   ;(11100010) (342) (226) (E2)   ;(11011101) (335) (221) (DD)   ;(01110000) (160) (112) (70)   ;(11100011) (343) (227) (E3)   ;(11011101) (335) (221) (DD)   ;(11001011) (313) (203) (CB)   ;
;6504;(11100001) (341) (225) (E1)    ;(01000110) (106) (70) (46)   ;(11001010) (312) (202) (CA)   ;(10010011) (223) (147) (93)   ;(00011010) (32) (26) (1A)   ;(01111011) (173) (123) (7B)   ;(11011101) (335) (221) (DD)   ;(10010110) (226) (150) (96)   ;
;6512;(11110110) (366) (246) (F6)    ;(11010010) (322) (210) (D2)   ;(10010011) (223) (147) (93)   ;(00011010) (32) (26) (1A)   ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(11110110) (366) (246) (F6)   ;(10010011) (223) (147) (93)   ;
;6520;(01011111) (137) (95) (5F)    ;(01010011) (123) (83) (53)   ;(00011101) (35) (29) (1D)   ;(01111010) (172) (122) (7A)   ;(10110111) (267) (183) (B7)   ;(11001010) (312) (202) (CA)   ;(10001010) (212) (138) (8A)   ;(00011010) (32) (26) (1A)   ;
;6528;(11000101) (305) (197) (C5)    ;(11010101) (325) (213) (D5)   ;(11011101) (335) (221) (DD)   ;(01101110) (156) (110) (6E)   ;(00000110) (6) (6) (06)   ;(11011101) (335) (221) (DD)   ;(01100110) (146) (102) (66)   ;(00000111) (7) (7) (07)   ;
;6536;(11100101) (345) (229) (E5)    ;(00111110) (76) (62) (3E)   ;(00100000) (40) (32) (20)   ;(11110101) (365) (245) (F5)   ;(00110011) (63) (51) (33)   ;(11011101) (335) (221) (DD)   ;(01101110) (156) (110) (6E)   ;(00000100) (4) (4) (04)   ;
;6544;(11011101) (335) (221) (DD)    ;(01100110) (146) (102) (66)   ;(00000101) (5) (5) (05)   ;(11001101) (315) (205) (CD)   ;(01000101) (105) (69) (45)   ;(00100000) (40) (32) (20)   ;(11110001) (361) (241) (F1)   ;(00110011) (63) (51) (33)   ;
;6552;(11010001) (321) (209) (D1)    ;(11000001) (301) (193) (C1)   ;(00000011) (3) (3) (03)   ;(00011000) (30) (24) (18)   ;(11011100) (334) (220) (DC)   ;(00100001) (41) (33) (21)   ;(00001010) (12) (10) (0A)   ;(00000000) (0) (0) (00)   ;
;6560;(00111001) (71) (57) (39)    ;(01001101) (115) (77) (4D)   ;(01000100) (104) (68) (44)   ;(11011101) (335) (221) (DD)   ;(01101110) (156) (110) (6E)   ;(00001010) (12) (10) (0A)   ;(11011101) (335) (221) (DD)   ;(01100110) (146) (102) (66)   ;
;6568;(00001011) (13) (11) (0B)    ;(00100011) (43) (35) (23)   ;(00100011) (43) (35) (23)   ;(11011101) (335) (221) (DD)   ;(01110101) (165) (117) (75)   ;(00001010) (12) (10) (0A)   ;(11011101) (335) (221) (DD)   ;(01110100) (164) (116) (74)   ;
;6576;(00001011) (13) (11) (0B)    ;(00101011) (53) (43) (2B)   ;(00101011) (53) (43) (2B)   ;(01011110) (136) (94) (5E)   ;(00100011) (43) (35) (23)   ;(01010110) (126) (86) (56)   ;(01111011) (173) (123) (7B)   ;(00000010) (2) (2) (02)   ;
;6584;(00000011) (3) (3) (03)    ;(01111010) (172) (122) (7A)   ;(00000010) (2) (2) (02)   ;(11011101) (335) (221) (DD)   ;(01101110) (156) (110) (6E)   ;(00000110) (6) (6) (06)   ;(11011101) (335) (221) (DD)   ;(01100110) (146) (102) (66)   ;
;6592;(00000111) (7) (7) (07)    ;(11100101) (345) (229) (E5)   ;(00111110) (76) (62) (3E)   ;(00110000) (60) (48) (30)   ;(11110101) (365) (245) (F5)   ;(00110011) (63) (51) (33)   ;(11011101) (335) (221) (DD)   ;(01101110) (156) (110) (6E)   ;
;6600;(00000100) (4) (4) (04)    ;(11011101) (335) (221) (DD)   ;(01100110) (146) (102) (66)   ;(00000101) (5) (5) (05)   ;(11001101) (315) (205) (CD)   ;(01000101) (105) (69) (45)   ;(00100000) (40) (32) (20)   ;(11110001) (361) (241) (F1)   ;
;6608;(00110011) (63) (51) (33)    ;(11011101) (335) (221) (DD)   ;(01001110) (116) (78) (4E)   ;(11100010) (342) (226) (E2)   ;(11011101) (335) (221) (DD)   ;(01000110) (106) (70) (46)   ;(11100011) (343) (227) (E3)   ;(00000011) (3) (3) (03)   ;
;6616;(11000101) (305) (197) (C5)    ;(11011101) (335) (221) (DD)   ;(01101110) (156) (110) (6E)   ;(00000110) (6) (6) (06)   ;(11011101) (335) (221) (DD)   ;(01100110) (146) (102) (66)   ;(00000111) (7) (7) (07)   ;(11100101) (345) (229) (E5)   ;
;6624;(00111110) (76) (62) (3E)    ;(01111000) (170) (120) (78)   ;(11110101) (365) (245) (F5)   ;(00110011) (63) (51) (33)   ;(11011101) (335) (221) (DD)   ;(01101110) (156) (110) (6E)   ;(00000100) (4) (4) (04)   ;(11011101) (335) (221) (DD)   ;
;6632;(01100110) (146) (102) (66)    ;(00000101) (5) (5) (05)   ;(11001101) (315) (205) (CD)   ;(01000101) (105) (69) (45)   ;(00100000) (40) (32) (20)   ;(11110001) (361) (241) (F1)   ;(00110011) (63) (51) (33)   ;(11000001) (301) (193) (C1)   ;
;6640;(00000011) (3) (3) (03)    ;(00100001) (41) (33) (21)   ;(00001011) (13) (11) (0B)   ;(00000000) (0) (0) (00)   ;(00111001) (71) (57) (39)   ;(01010110) (126) (86) (56)   ;(11000101) (305) (197) (C5)   ;(11011101) (335) (221) (DD)   ;
;6648;(01101110) (156) (110) (6E)    ;(00000110) (6) (6) (06)   ;(11011101) (335) (221) (DD)   ;(01100110) (146) (102) (66)   ;(00000111) (7) (7) (07)   ;(11100101) (345) (229) (E5)   ;(11011101) (335) (221) (DD)   ;(01101110) (156) (110) (6E)   ;
;6656;(00000100) (4) (4) (04)    ;(11011101) (335) (221) (DD)   ;(01100110) (146) (102) (66)   ;(00000101) (5) (5) (05)   ;(11100101) (345) (229) (E5)   ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(11011001) (331) (217) (D9)   ;
;6664;(11110101) (365) (245) (F5)    ;(00110011) (63) (51) (33)   ;(11010101) (325) (213) (D5)   ;(00110011) (63) (51) (33)   ;(11001101) (315) (205) (CD)   ;(01011001) (131) (89) (59)   ;(00010101) (25) (21) (15)   ;(00100001) (41) (33) (21)   ;
;6672;(00000110) (6) (6) (06)    ;(00000000) (0) (0) (00)   ;(00111001) (71) (57) (39)   ;(11111001) (371) (249) (F9)   ;(11000001) (301) (193) (C1)   ;(00000011) (3) (3) (03)   ;(00000011) (3) (3) (03)   ;(11011101) (335) (221) (DD)   ;
;6680;(01101110) (156) (110) (6E)    ;(11111110) (376) (254) (FE)   ;(11011101) (335) (221) (DD)   ;(01100110) (146) (102) (66)   ;(11111111) (377) (255) (FF)   ;(01010110) (126) (86) (56)   ;(11000101) (305) (197) (C5)   ;(11011101) (335) (221) (DD)   ;
;6688;(01101110) (156) (110) (6E)    ;(00000110) (6) (6) (06)   ;(11011101) (335) (221) (DD)   ;(01100110) (146) (102) (66)   ;(00000111) (7) (7) (07)   ;(11100101) (345) (229) (E5)   ;(11011101) (335) (221) (DD)   ;(01101110) (156) (110) (6E)   ;
;6696;(00000100) (4) (4) (04)    ;(11011101) (335) (221) (DD)   ;(01100110) (146) (102) (66)   ;(00000101) (5) (5) (05)   ;(11100101) (345) (229) (E5)   ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(11011001) (331) (217) (D9)   ;
;6704;(11110101) (365) (245) (F5)    ;(00110011) (63) (51) (33)   ;(11010101) (325) (213) (D5)   ;(00110011) (63) (51) (33)   ;(11001101) (315) (205) (CD)   ;(01011001) (131) (89) (59)   ;(00010101) (25) (21) (15)   ;(00100001) (41) (33) (21)   ;
;6712;(00000110) (6) (6) (06)    ;(00000000) (0) (0) (00)   ;(00111001) (71) (57) (39)   ;(11111001) (371) (249) (F9)   ;(11000001) (301) (193) (C1)   ;(00000011) (3) (3) (03)   ;(00000011) (3) (3) (03)   ;(11011101) (335) (221) (DD)   ;
;6720;(01110001) (161) (113) (71)    ;(11100010) (342) (226) (E2)   ;(11011101) (335) (221) (DD)   ;(01110000) (160) (112) (70)   ;(11100011) (343) (227) (E3)   ;(00011000) (30) (24) (18)   ;(01001100) (114) (76) (4C)   ;(11011101) (335) (221) (DD)   ;
;6728;(00110110) (66) (54) (36)    ;(11011101) (335) (221) (DD)   ;(00000001) (1) (1) (01)   ;(11011101) (335) (221) (DD)   ;(00110110) (66) (54) (36)   ;(11010010) (322) (210) (D2)   ;(00001010) (12) (10) (0A)   ;(00011000) (30) (24) (18)   ;
;6736;(01000010) (102) (66) (42)    ;(11011101) (335) (221) (DD)   ;(00110110) (66) (54) (36)   ;(11010010) (322) (210) (D2)   ;(00001000) (10) (8) (08)   ;(00011000) (30) (24) (18)   ;(00111100) (74) (60) (3C)   ;(11011101) (335) (221) (DD)   ;
;6744;(00110110) (66) (54) (36)    ;(11010010) (322) (210) (D2)   ;(00001010) (12) (10) (0A)   ;(00011000) (30) (24) (18)   ;(00110110) (66) (54) (36)   ;(11011101) (335) (221) (DD)   ;(00110110) (66) (54) (36)   ;(11010010) (322) (210) (D2)   ;
;6752;(00010000) (20) (16) (10)    ;(00011000) (30) (24) (18)   ;(00110000) (60) (48) (30)   ;(11011101) (335) (221) (DD)   ;(00110110) (66) (54) (36)   ;(11011010) (332) (218) (DA)   ;(00000001) (1) (1) (01)   ;(00011000) (30) (24) (18)   ;
;6760;(00101010) (52) (42) (2A)    ;(11011101) (335) (221) (DD)   ;(01101110) (156) (110) (6E)   ;(00000110) (6) (6) (06)   ;(11011101) (335) (221) (DD)   ;(01100110) (146) (102) (66)   ;(00000111) (7) (7) (07)   ;(11100101) (345) (229) (E5)   ;
;6768;(11011101) (335) (221) (DD)    ;(01111110) (176) (126) (7E)   ;(11110011) (363) (243) (F3)   ;(11110101) (365) (245) (F5)   ;(00110011) (63) (51) (33)   ;(11011101) (335) (221) (DD)   ;(01101110) (156) (110) (6E)   ;(00000100) (4) (4) (04)   ;
;6776;(11011101) (335) (221) (DD)    ;(01100110) (146) (102) (66)   ;(00000101) (5) (5) (05)   ;(11001101) (315) (205) (CD)   ;(01000101) (105) (69) (45)   ;(00100000) (40) (32) (20)   ;(11110001) (361) (241) (F1)   ;(00110011) (63) (51) (33)   ;
;6784;(11011101) (335) (221) (DD)    ;(00110100) (64) (52) (34)   ;(11100010) (342) (226) (E2)   ;(00100000) (40) (32) (20)   ;(00001110) (16) (14) (0E)   ;(11011101) (335) (221) (DD)   ;(00110100) (64) (52) (34)   ;(11100011) (343) (227) (E3)   ;
;6792;(00011000) (30) (24) (18)    ;(00001001) (11) (9) (09)   ;(11011101) (335) (221) (DD)   ;(01110001) (161) (113) (71)   ;(11100010) (342) (226) (E2)   ;(11011101) (335) (221) (DD)   ;(01110000) (160) (112) (70)   ;(11100011) (343) (227) (E3)   ;
;6800;(11011101) (335) (221) (DD)    ;(01110011) (163) (115) (73)   ;(11110110) (366) (246) (F6)   ;(11011101) (335) (221) (DD)   ;(11001011) (313) (203) (CB)   ;(11011010) (332) (218) (DA)   ;(01000110) (106) (70) (46)   ;(11001010) (312) (202) (CA)   ;
;6808;(01010101) (125) (85) (55)    ;(00011011) (33) (27) (1B)   ;(00100001) (41) (33) (21)   ;(00001010) (12) (10) (0A)   ;(00000000) (0) (0) (00)   ;(00111001) (71) (57) (39)   ;(11011101) (335) (221) (DD)   ;(01110101) (165) (117) (75)   ;
;6816;(11110000) (360) (240) (F0)    ;(11011101) (335) (221) (DD)   ;(01110100) (164) (116) (74)   ;(11110001) (361) (241) (F1)   ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(00001010) (12) (10) (0A)   ;(11000110) (306) (198) (C6)   ;
;6824;(00000100) (4) (4) (04)    ;(11011101) (335) (221) (DD)   ;(01110111) (167) (119) (77)   ;(11110100) (364) (244) (F4)   ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(00001011) (13) (11) (0B)   ;(11001110) (316) (206) (CE)   ;
;6832;(00000000) (0) (0) (00)    ;(11011101) (335) (221) (DD)   ;(01110111) (167) (119) (77)   ;(11110101) (365) (245) (F5)   ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(11110100) (364) (244) (F4)   ;(11011101) (335) (221) (DD)   ;
;6840;(01110111) (167) (119) (77)    ;(00001010) (12) (10) (0A)   ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(11110101) (365) (245) (F5)   ;(11011101) (335) (221) (DD)   ;(01110111) (167) (119) (77)   ;(00001011) (13) (11) (0B)   ;
;6848;(11011101) (335) (221) (DD)    ;(01111110) (176) (126) (7E)   ;(11110100) (364) (244) (F4)   ;(11000110) (306) (198) (C6)   ;(11111100) (374) (252) (FC)   ;(11011101) (335) (221) (DD)   ;(01110111) (167) (119) (77)   ;(11110100) (364) (244) (F4)   ;
;6856;(11011101) (335) (221) (DD)    ;(01111110) (176) (126) (7E)   ;(11110101) (365) (245) (F5)   ;(11001110) (316) (206) (CE)   ;(11111111) (377) (255) (FF)   ;(11011101) (335) (221) (DD)   ;(01110111) (167) (119) (77)   ;(11110101) (365) (245) (F5)   ;
;6864;(11011101) (335) (221) (DD)    ;(01011110) (136) (94) (5E)   ;(11110100) (364) (244) (F4)   ;(11011101) (335) (221) (DD)   ;(01010110) (126) (86) (56)   ;(11110101) (365) (245) (F5)   ;(00100001) (41) (33) (21)   ;(00100010) (42) (34) (22)   ;
;6872;(00000000) (0) (0) (00)    ;(00111001) (71) (57) (39)   ;(11101011) (353) (235) (EB)   ;(00000001) (1) (1) (01)   ;(00000100) (4) (4) (04)   ;(00000000) (0) (0) (00)   ;(11101101) (355) (237) (ED)   ;(10110000) (260) (176) (B0)   ;
;6880;(11011101) (335) (221) (DD)    ;(01011110) (136) (94) (5E)   ;(11110000) (360) (240) (F0)   ;(11011101) (335) (221) (DD)   ;(01010110) (126) (86) (56)   ;(11110001) (361) (241) (F1)   ;(00100001) (41) (33) (21)   ;(00100010) (42) (34) (22)   ;
;6888;(00000000) (0) (0) (00)    ;(00111001) (71) (57) (39)   ;(00000001) (1) (1) (01)   ;(00000100) (4) (4) (04)   ;(00000000) (0) (0) (00)   ;(11101101) (355) (237) (ED)   ;(10110000) (260) (176) (B0)   ;(00100001) (41) (33) (21)   ;
;6896;(00001010) (12) (10) (0A)    ;(00000000) (0) (0) (00)   ;(00111001) (71) (57) (39)   ;(11011101) (335) (221) (DD)   ;(01110101) (165) (117) (75)   ;(11101100) (354) (236) (EC)   ;(11011101) (335) (221) (DD)   ;(01110100) (164) (116) (74)   ;
;6904;(11101101) (355) (237) (ED)    ;(11011101) (335) (221) (DD)   ;(01101110) (156) (110) (6E)   ;(11101100) (354) (236) (EC)   ;(11011101) (335) (221) (DD)   ;(01100110) (146) (102) (66)   ;(11101101) (355) (237) (ED)   ;(00110110) (66) (54) (36)   ;
;6912;(00111010) (72) (58) (3A)    ;(00100011) (43) (35) (23)   ;(00110110) (66) (54) (36)   ;(00100000) (40) (32) (20)   ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(11100010) (342) (226) (E2)   ;(11011101) (335) (221) (DD)   ;
;6920;(01110111) (167) (119) (77)    ;(11110000) (360) (240) (F0)   ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(11100011) (343) (227) (E3)   ;(11011101) (335) (221) (DD)   ;(01110111) (167) (119) (77)   ;(11110001) (361) (241) (F1)   ;
;6928;(11011101) (335) (221) (DD)    ;(01101110) (156) (110) (6E)   ;(11101100) (354) (236) (EC)   ;(11011101) (335) (221) (DD)   ;(01100110) (146) (102) (66)   ;(11101101) (355) (237) (ED)   ;(01001110) (116) (78) (4E)   ;(00100011) (43) (35) (23)   ;
;6936;(01000110) (106) (70) (46)    ;(01011001) (131) (89) (59)   ;(01010000) (120) (80) (50)   ;(00010011) (23) (19) (13)   ;(11011101) (335) (221) (DD)   ;(01101110) (156) (110) (6E)   ;(11101100) (354) (236) (EC)   ;(11011101) (335) (221) (DD)   ;
;6944;(01100110) (146) (102) (66)    ;(11101101) (355) (237) (ED)   ;(01110011) (163) (115) (73)   ;(00100011) (43) (35) (23)   ;(01110010) (162) (114) (72)   ;(00001010) (12) (10) (0A)   ;(01000111) (107) (71) (47)   ;(10110111) (267) (183) (B7)   ;
;6952;(11001010) (312) (202) (CA)    ;(01101001) (151) (105) (69)   ;(00010110) (26) (22) (16)   ;(11011101) (335) (221) (DD)   ;(01101110) (156) (110) (6E)   ;(00000110) (6) (6) (06)   ;(11011101) (335) (221) (DD)   ;(01100110) (146) (102) (66)   ;
;6960;(00000111) (7) (7) (07)    ;(11100101) (345) (229) (E5)   ;(11000101) (305) (197) (C5)   ;(00110011) (63) (51) (33)   ;(11011101) (335) (221) (DD)   ;(01101110) (156) (110) (6E)   ;(00000100) (4) (4) (04)   ;(11011101) (335) (221) (DD)   ;
;6968;(01100110) (146) (102) (66)    ;(00000101) (5) (5) (05)   ;(11001101) (315) (205) (CD)   ;(01000101) (105) (69) (45)   ;(00100000) (40) (32) (20)   ;(11110001) (361) (241) (F1)   ;(00110011) (63) (51) (33)   ;(11011101) (335) (221) (DD)   ;
;6976;(00110100) (64) (52) (34)    ;(11110000) (360) (240) (F0)   ;(00100000) (40) (32) (20)   ;(00000011) (3) (3) (03)   ;(11011101) (335) (221) (DD)   ;(00110100) (64) (52) (34)   ;(11110001) (361) (241) (F1)   ;(11011101) (335) (221) (DD)   ;
;6984;(01111110) (176) (126) (7E)    ;(11110000) (360) (240) (F0)   ;(11011101) (335) (221) (DD)   ;(01110111) (167) (119) (77)   ;(11100010) (342) (226) (E2)   ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(11110001) (361) (241) (F1)   ;
;6992;(11011101) (335) (221) (DD)    ;(01110111) (167) (119) (77)   ;(11100011) (343) (227) (E3)   ;(00011000) (30) (24) (18)   ;(10111011) (273) (187) (BB)   ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(11010010) (322) (210) (D2)   ;
;7000;(10110111) (267) (183) (B7)    ;(11001010) (312) (202) (CA)   ;(01101001) (151) (105) (69)   ;(00010110) (26) (22) (16)   ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(11111100) (374) (252) (FC)   ;(11011101) (335) (221) (DD)   ;
;7008;(01110111) (167) (119) (77)    ;(11101100) (354) (236) (EC)   ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(11111101) (375) (253) (FD)   ;(11011101) (335) (221) (DD)   ;(01110111) (167) (119) (77)   ;(11101101) (355) (237) (ED)   ;
;7016;(11011101) (335) (221) (DD)    ;(11001011) (313) (203) (CB)   ;(11011100) (334) (220) (DC)   ;(01000110) (106) (70) (46)   ;(11001010) (312) (202) (CA)   ;(11110011) (363) (243) (F3)   ;(00011011) (33) (27) (1B)   ;(00100001) (41) (33) (21)   ;
;7024;(00001010) (12) (10) (0A)    ;(00000000) (0) (0) (00)   ;(00111001) (71) (57) (39)   ;(11011101) (335) (221) (DD)   ;(01110101) (165) (117) (75)   ;(11110000) (360) (240) (F0)   ;(11011101) (335) (221) (DD)   ;(01110100) (164) (116) (74)   ;
;7032;(11110001) (361) (241) (F1)    ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(00001010) (12) (10) (0A)   ;(11000110) (306) (198) (C6)   ;(00000001) (1) (1) (01)   ;(11011101) (335) (221) (DD)   ;(01110111) (167) (119) (77)   ;
;7040;(11110100) (364) (244) (F4)    ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(00001011) (13) (11) (0B)   ;(11001110) (316) (206) (CE)   ;(00000000) (0) (0) (00)   ;(11011101) (335) (221) (DD)   ;(01110111) (167) (119) (77)   ;
;7048;(11110101) (365) (245) (F5)    ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(11110100) (364) (244) (F4)   ;(11011101) (335) (221) (DD)   ;(01110111) (167) (119) (77)   ;(00001010) (12) (10) (0A)   ;(11011101) (335) (221) (DD)   ;
;7056;(01111110) (176) (126) (7E)    ;(11110101) (365) (245) (F5)   ;(11011101) (335) (221) (DD)   ;(01110111) (167) (119) (77)   ;(00001011) (13) (11) (0B)   ;(11011101) (335) (221) (DD)   ;(01101110) (156) (110) (6E)   ;(11110100) (364) (244) (F4)   ;
;7064;(11011101) (335) (221) (DD)    ;(01100110) (146) (102) (66)   ;(11110101) (365) (245) (F5)   ;(00101011) (53) (43) (2B)   ;(01111110) (176) (126) (7E)   ;(11011101) (335) (221) (DD)   ;(01110111) (167) (119) (77)   ;(11110010) (362) (242) (F2)   ;
;7072;(11011101) (335) (221) (DD)    ;(01110111) (167) (119) (77)   ;(11101000) (350) (232) (E8)   ;(11011101) (335) (221) (DD)   ;(00110110) (66) (54) (36)   ;(11101001) (351) (233) (E9)   ;(00000000) (0) (0) (00)   ;(11011101) (335) (221) (DD)   ;
;7080;(00110110) (66) (54) (36)    ;(11101010) (352) (234) (EA)   ;(00000000) (0) (0) (00)   ;(11011101) (335) (221) (DD)   ;(00110110) (66) (54) (36)   ;(11101011) (353) (235) (EB)   ;(00000000) (0) (0) (00)   ;(11011101) (335) (221) (DD)   ;
;7088;(01011110) (136) (94) (5E)    ;(11110000) (360) (240) (F0)   ;(11011101) (335) (221) (DD)   ;(01010110) (126) (86) (56)   ;(11110001) (361) (241) (F1)   ;(00100001) (41) (33) (21)   ;(00011110) (36) (30) (1E)   ;(00000000) (0) (0) (00)   ;
;7096;(00111001) (71) (57) (39)    ;(00000001) (1) (1) (01)   ;(00000100) (4) (4) (04)   ;(00000000) (0) (0) (00)   ;(11101101) (355) (237) (ED)   ;(10110000) (260) (176) (B0)   ;(11011101) (335) (221) (DD)   ;(11001011) (313) (203) (CB)   ;
;7104;(11011101) (335) (221) (DD)    ;(01000110) (106) (70) (46)   ;(11000010) (302) (194) (C2)   ;(11110010) (362) (242) (F2)   ;(00011100) (34) (28) (1C)   ;(11011101) (335) (221) (DD)   ;(01011110) (136) (94) (5E)   ;(11110000) (360) (240) (F0)   ;
;7112;(11011101) (335) (221) (DD)    ;(01010110) (126) (86) (56)   ;(11110001) (361) (241) (F1)   ;(00100001) (41) (33) (21)   ;(00011110) (36) (30) (1E)   ;(00000000) (0) (0) (00)   ;(00111001) (71) (57) (39)   ;(11101011) (353) (235) (EB)   ;
;7120;(00000001) (1) (1) (01)    ;(00000100) (4) (4) (04)   ;(00000000) (0) (0) (00)   ;(11101101) (355) (237) (ED)   ;(10110000) (260) (176) (B0)   ;(11011101) (335) (221) (DD)   ;(00110110) (66) (54) (36)   ;(11101001) (351) (233) (E9)   ;
;7128;(00000000) (0) (0) (00)    ;(11011101) (335) (221) (DD)   ;(00110110) (66) (54) (36)   ;(11101010) (352) (234) (EA)   ;(00000000) (0) (0) (00)   ;(11011101) (335) (221) (DD)   ;(00110110) (66) (54) (36)   ;(11101011) (353) (235) (EB)   ;
;7136;(00000000) (0) (0) (00)    ;(11011101) (335) (221) (DD)   ;(01011110) (136) (94) (5E)   ;(11110000) (360) (240) (F0)   ;(11011101) (335) (221) (DD)   ;(01010110) (126) (86) (56)   ;(11110001) (361) (241) (F1)   ;(00100001) (41) (33) (21)   ;
;7144;(00011110) (36) (30) (1E)    ;(00000000) (0) (0) (00)   ;(00111001) (71) (57) (39)   ;(00000001) (1) (1) (01)   ;(00000100) (4) (4) (04)   ;(00000000) (0) (0) (00)   ;(11101101) (355) (237) (ED)   ;(10110000) (260) (176) (B0)   ;
;7152;(11000011) (303) (195) (C3)    ;(11110010) (362) (242) (F2)   ;(00011100) (34) (28) (1C)   ;(11011101) (335) (221) (DD)   ;(11001011) (313) (203) (CB)   ;(11011011) (333) (219) (DB)   ;(01000110) (106) (70) (46)   ;(00101000) (50) (40) (28)   ;
;7160;(01011000) (130) (88) (58)    ;(00100001) (41) (33) (21)   ;(00001010) (12) (10) (0A)   ;(00000000) (0) (0) (00)   ;(00111001) (71) (57) (39)   ;(11011101) (335) (221) (DD)   ;(01110101) (165) (117) (75)   ;(11101000) (350) (232) (E8)   ;
;7168;(11011101) (335) (221) (DD)    ;(01110100) (164) (116) (74)   ;(11101001) (351) (233) (E9)   ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(00001010) (12) (10) (0A)   ;(11000110) (306) (198) (C6)   ;(00000100) (4) (4) (04)   ;
;7176;(11011101) (335) (221) (DD)    ;(01110111) (167) (119) (77)   ;(11110000) (360) (240) (F0)   ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(00001011) (13) (11) (0B)   ;(11001110) (316) (206) (CE)   ;(00000000) (0) (0) (00)   ;
;7184;(11011101) (335) (221) (DD)    ;(01110111) (167) (119) (77)   ;(11110001) (361) (241) (F1)   ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(11110000) (360) (240) (F0)   ;(11011101) (335) (221) (DD)   ;(01110111) (167) (119) (77)   ;
;7192;(00001010) (12) (10) (0A)    ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(11110001) (361) (241) (F1)   ;(11011101) (335) (221) (DD)   ;(01110111) (167) (119) (77)   ;(00001011) (13) (11) (0B)   ;(11011101) (335) (221) (DD)   ;
;7200;(01111110) (176) (126) (7E)    ;(11110000) (360) (240) (F0)   ;(11000110) (306) (198) (C6)   ;(11111100) (374) (252) (FC)   ;(11011101) (335) (221) (DD)   ;(01110111) (167) (119) (77)   ;(11110000) (360) (240) (F0)   ;(11011101) (335) (221) (DD)   ;
;7208;(01111110) (176) (126) (7E)    ;(11110001) (361) (241) (F1)   ;(11001110) (316) (206) (CE)   ;(11111111) (377) (255) (FF)   ;(11011101) (335) (221) (DD)   ;(01110111) (167) (119) (77)   ;(11110001) (361) (241) (F1)   ;(11011101) (335) (221) (DD)   ;
;7216;(01011110) (136) (94) (5E)    ;(11110000) (360) (240) (F0)   ;(11011101) (335) (221) (DD)   ;(01010110) (126) (86) (56)   ;(11110001) (361) (241) (F1)   ;(00100001) (41) (33) (21)   ;(00011010) (32) (26) (1A)   ;(00000000) (0) (0) (00)   ;
;7224;(00111001) (71) (57) (39)    ;(11101011) (353) (235) (EB)   ;(00000001) (1) (1) (01)   ;(00000100) (4) (4) (04)   ;(00000000) (0) (0) (00)   ;(11101101) (355) (237) (ED)   ;(10110000) (260) (176) (B0)   ;(11011101) (335) (221) (DD)   ;
;7232;(01011110) (136) (94) (5E)    ;(11101000) (350) (232) (E8)   ;(11011101) (335) (221) (DD)   ;(01010110) (126) (86) (56)   ;(11101001) (351) (233) (E9)   ;(00100001) (41) (33) (21)   ;(00011010) (32) (26) (1A)   ;(00000000) (0) (0) (00)   ;
;7240;(00111001) (71) (57) (39)    ;(00000001) (1) (1) (01)   ;(00000100) (4) (4) (04)   ;(00000000) (0) (0) (00)   ;(11101101) (355) (237) (ED)   ;(10110000) (260) (176) (B0)   ;(11000011) (303) (195) (C3)   ;(11110010) (362) (242) (F2)   ;
;7248;(00011100) (34) (28) (1C)    ;(00100001) (41) (33) (21)   ;(00001010) (12) (10) (0A)   ;(00000000) (0) (0) (00)   ;(00111001) (71) (57) (39)   ;(11011101) (335) (221) (DD)   ;(01110101) (165) (117) (75)   ;(11100100) (344) (228) (E4)   ;
;7256;(11011101) (335) (221) (DD)    ;(01110100) (164) (116) (74)   ;(11100101) (345) (229) (E5)   ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(00001010) (12) (10) (0A)   ;(11000110) (306) (198) (C6)   ;(00000010) (2) (2) (02)   ;
;7264;(11011101) (335) (221) (DD)    ;(01110111) (167) (119) (77)   ;(11101000) (350) (232) (E8)   ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(00001011) (13) (11) (0B)   ;(11001110) (316) (206) (CE)   ;(00000000) (0) (0) (00)   ;
;7272;(11011101) (335) (221) (DD)    ;(01110111) (167) (119) (77)   ;(11101001) (351) (233) (E9)   ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(11101000) (350) (232) (E8)   ;(11011101) (335) (221) (DD)   ;(01110111) (167) (119) (77)   ;
;7280;(00001010) (12) (10) (0A)    ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(11101001) (351) (233) (E9)   ;(11011101) (335) (221) (DD)   ;(01110111) (167) (119) (77)   ;(00001011) (13) (11) (0B)   ;(11011101) (335) (221) (DD)   ;
;7288;(01111110) (176) (126) (7E)    ;(11101000) (350) (232) (E8)   ;(11000110) (306) (198) (C6)   ;(11111110) (376) (254) (FE)   ;(11011101) (335) (221) (DD)   ;(01110111) (167) (119) (77)   ;(11101000) (350) (232) (E8)   ;(11011101) (335) (221) (DD)   ;
;7296;(01111110) (176) (126) (7E)    ;(11101001) (351) (233) (E9)   ;(11001110) (316) (206) (CE)   ;(11111111) (377) (255) (FF)   ;(11011101) (335) (221) (DD)   ;(01110111) (167) (119) (77)   ;(11101001) (351) (233) (E9)   ;(11011101) (335) (221) (DD)   ;
;7304;(01111110) (176) (126) (7E)    ;(11101000) (350) (232) (E8)   ;(11011101) (335) (221) (DD)   ;(01110111) (167) (119) (77)   ;(11101000) (350) (232) (E8)   ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(11101001) (351) (233) (E9)   ;
;7312;(11011101) (335) (221) (DD)    ;(01110111) (167) (119) (77)   ;(11101001) (351) (233) (E9)   ;(11011101) (335) (221) (DD)   ;(01101110) (156) (110) (6E)   ;(11101000) (350) (232) (E8)   ;(11011101) (335) (221) (DD)   ;(01100110) (146) (102) (66)   ;
;7320;(11101001) (351) (233) (E9)    ;(01111110) (176) (126) (7E)   ;(11011101) (335) (221) (DD)   ;(01110111) (167) (119) (77)   ;(11101000) (350) (232) (E8)   ;(00100011) (43) (35) (23)   ;(01111110) (176) (126) (7E)   ;(11011101) (335) (221) (DD)   ;
;7328;(01110111) (167) (119) (77)    ;(11101001) (351) (233) (E9)   ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(11101000) (350) (232) (E8)   ;(11011101) (335) (221) (DD)   ;(01110111) (167) (119) (77)   ;(11101000) (350) (232) (E8)   ;
;7336;(11011101) (335) (221) (DD)    ;(01111110) (176) (126) (7E)   ;(11101001) (351) (233) (E9)   ;(11011101) (335) (221) (DD)   ;(01110111) (167) (119) (77)   ;(11101001) (351) (233) (E9)   ;(00010111) (27) (23) (17)   ;(10011111) (237) (159) (9F)   ;
;7344;(11011101) (335) (221) (DD)    ;(01110111) (167) (119) (77)   ;(11101010) (352) (234) (EA)   ;(11011101) (335) (221) (DD)   ;(01110111) (167) (119) (77)   ;(11101011) (353) (235) (EB)   ;(11011101) (335) (221) (DD)   ;(01011110) (136) (94) (5E)   ;
;7352;(11100100) (344) (228) (E4)    ;(11011101) (335) (221) (DD)   ;(01010110) (126) (86) (56)   ;(11100101) (345) (229) (E5)   ;(00100001) (41) (33) (21)   ;(00011110) (36) (30) (1E)   ;(00000000) (0) (0) (00)   ;(00111001) (71) (57) (39)   ;
;7360;(00000001) (1) (1) (01)    ;(00000100) (4) (4) (04)   ;(00000000) (0) (0) (00)   ;(11101101) (355) (237) (ED)   ;(10110000) (260) (176) (B0)   ;(11011101) (335) (221) (DD)   ;(11001011) (313) (203) (CB)   ;(11011101) (335) (221) (DD)   ;
;7368;(01000110) (106) (70) (46)    ;(00100000) (40) (32) (20)   ;(00100111) (47) (39) (27)   ;(11011101) (335) (221) (DD)   ;(01011110) (136) (94) (5E)   ;(11100100) (344) (228) (E4)   ;(11011101) (335) (221) (DD)   ;(01010110) (126) (86) (56)   ;
;7376;(11100101) (345) (229) (E5)    ;(00100001) (41) (33) (21)   ;(00011110) (36) (30) (1E)   ;(00000000) (0) (0) (00)   ;(00111001) (71) (57) (39)   ;(11101011) (353) (235) (EB)   ;(00000001) (1) (1) (01)   ;(00000100) (4) (4) (04)   ;
;7384;(00000000) (0) (0) (00)    ;(11101101) (355) (237) (ED)   ;(10110000) (260) (176) (B0)   ;(11011101) (335) (221) (DD)   ;(00110110) (66) (54) (36)   ;(11101010) (352) (234) (EA)   ;(00000000) (0) (0) (00)   ;(11011101) (335) (221) (DD)   ;
;7392;(00110110) (66) (54) (36)    ;(11101011) (353) (235) (EB)   ;(00000000) (0) (0) (00)   ;(11011101) (335) (221) (DD)   ;(01011110) (136) (94) (5E)   ;(11100100) (344) (228) (E4)   ;(11011101) (335) (221) (DD)   ;(01010110) (126) (86) (56)   ;
;7400;(11100101) (345) (229) (E5)    ;(00100001) (41) (33) (21)   ;(00011110) (36) (30) (1E)   ;(00000000) (0) (0) (00)   ;(00111001) (71) (57) (39)   ;(00000001) (1) (1) (01)   ;(00000100) (4) (4) (04)   ;(00000000) (0) (0) (00)   ;
;7408;(11101101) (355) (237) (ED)    ;(10110000) (260) (176) (B0)   ;(11011101) (335) (221) (DD)   ;(11001011) (313) (203) (CB)   ;(11011101) (335) (221) (DD)   ;(01000110) (106) (70) (46)   ;(00101000) (50) (40) (28)   ;(01001010) (112) (74) (4A)   ;
;7416;(00100001) (41) (33) (21)    ;(00001010) (12) (10) (0A)   ;(00000000) (0) (0) (00)   ;(00111001) (71) (57) (39)   ;(11011101) (335) (221) (DD)   ;(01110101) (165) (117) (75)   ;(11100100) (344) (228) (E4)   ;(11011101) (335) (221) (DD)   ;
;7424;(01110100) (164) (116) (74)    ;(11100101) (345) (229) (E5)   ;(11101011) (353) (235) (EB)   ;(00100001) (41) (33) (21)   ;(00011110) (36) (30) (1E)   ;(00000000) (0) (0) (00)   ;(00111001) (71) (57) (39)   ;(11101011) (353) (235) (EB)   ;
;7432;(00000001) (1) (1) (01)    ;(00000100) (4) (4) (04)   ;(00000000) (0) (0) (00)   ;(11101101) (355) (237) (ED)   ;(10110000) (260) (176) (B0)   ;(11011101) (335) (221) (DD)   ;(11001011) (313) (203) (CB)   ;(11101011) (353) (235) (EB)   ;
;7440;(01111110) (176) (126) (7E)    ;(00101000) (50) (40) (28)   ;(00101011) (53) (43) (2B)   ;(11011101) (335) (221) (DD)   ;(01101110) (156) (110) (6E)   ;(11100100) (344) (228) (E4)   ;(11011101) (335) (221) (DD)   ;(01100110) (146) (102) (66)   ;
;7448;(11100101) (345) (229) (E5)    ;(01001110) (116) (78) (4E)   ;(00100011) (43) (35) (23)   ;(01000110) (106) (70) (46)   ;(00100011) (43) (35) (23)   ;(01011110) (136) (94) (5E)   ;(00100011) (43) (35) (23)   ;(01010110) (126) (86) (56)   ;
;7456;(10101111) (257) (175) (AF)    ;(10010001) (221) (145) (91)   ;(01001111) (117) (79) (4F)   ;(00111110) (76) (62) (3E)   ;(00000000) (0) (0) (00)   ;(10011000) (230) (152) (98)   ;(01000111) (107) (71) (47)   ;(00111110) (76) (62) (3E)   ;
;7464;(00000000) (0) (0) (00)    ;(10011011) (233) (155) (9B)   ;(01011111) (137) (95) (5F)   ;(00111110) (76) (62) (3E)   ;(00000000) (0) (0) (00)   ;(10011010) (232) (154) (9A)   ;(01010111) (127) (87) (57)   ;(11011101) (335) (221) (DD)   ;
;7472;(01101110) (156) (110) (6E)    ;(11100100) (344) (228) (E4)   ;(11011101) (335) (221) (DD)   ;(01100110) (146) (102) (66)   ;(11100101) (345) (229) (E5)   ;(01110001) (161) (113) (71)   ;(00100011) (43) (35) (23)   ;(01110000) (160) (112) (70)   ;
;7480;(00100011) (43) (35) (23)    ;(01110011) (163) (115) (73)   ;(00100011) (43) (35) (23)   ;(01110010) (162) (114) (72)   ;(00011000) (30) (24) (18)   ;(00000100) (4) (4) (04)   ;(11011101) (335) (221) (DD)   ;(00110110) (66) (54) (36)   ;
;7488;(11011101) (335) (221) (DD)    ;(00000000) (0) (0) (00)   ;(11011101) (335) (221) (DD)   ;(00110110) (66) (54) (36)   ;(11010011) (323) (211) (D3)   ;(00000001) (1) (1) (01)   ;(11011101) (335) (221) (DD)   ;(01001110) (116) (78) (4E)   ;
;7496;(11101100) (354) (236) (EC)    ;(11011101) (335) (221) (DD)   ;(01000110) (106) (70) (46)   ;(11101101) (355) (237) (ED)   ;(11011101) (335) (221) (DD)   ;(00110110) (66) (54) (36)   ;(11010000) (320) (208) (D0)   ;(00000000) (0) (0) (00)   ;
;7504;(00100001) (41) (33) (21)    ;(00001110) (16) (14) (0E)   ;(00000000) (0) (0) (00)   ;(00111001) (71) (57) (39)   ;(00110110) (66) (54) (36)   ;(00000000) (0) (0) (00)   ;(11011101) (335) (221) (DD)   ;(01011110) (136) (94) (5E)   ;
;7512;(11111010) (372) (250) (FA)    ;(11011101) (335) (221) (DD)   ;(01010110) (126) (86) (56)   ;(11111011) (373) (251) (FB)   ;(11000101) (305) (197) (C5)   ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(11010010) (322) (210) (D2)   ;
;7520;(11110101) (365) (245) (F5)    ;(00110011) (63) (51) (33)   ;(11010101) (325) (213) (D5)   ;(11001101) (315) (205) (CD)   ;(10101110) (256) (174) (AE)   ;(00010101) (25) (21) (15)   ;(11110001) (361) (241) (F1)   ;(00110011) (63) (51) (33)   ;
;7528;(11000001) (301) (193) (C1)    ;(11011101) (335) (221) (DD)   ;(11001011) (313) (203) (CB)   ;(11010011) (323) (211) (D3)   ;(01000110) (106) (70) (46)   ;(00100000) (40) (32) (20)   ;(00100011) (43) (35) (23)   ;(11011101) (335) (221) (DD)   ;
;7536;(01101110) (156) (110) (6E)    ;(11111000) (370) (248) (F8)   ;(11011101) (335) (221) (DD)   ;(01100110) (146) (102) (66)   ;(11111001) (371) (249) (F9)   ;(01111110) (176) (126) (7E)   ;(00000111) (7) (7) (07)   ;(00000111) (7) (7) (07)   ;
;7544;(00000111) (7) (7) (07)    ;(00000111) (7) (7) (07)   ;(11100110) (346) (230) (E6)   ;(11110000) (360) (240) (F0)   ;(01011111) (137) (95) (5F)   ;(11011101) (335) (221) (DD)   ;(01101110) (156) (110) (6E)   ;(11111000) (370) (248) (F8)   ;
;7552;(11011101) (335) (221) (DD)    ;(01100110) (146) (102) (66)   ;(11111001) (371) (249) (F9)   ;(01111110) (176) (126) (7E)   ;(00000111) (7) (7) (07)   ;(00000111) (7) (7) (07)   ;(00000111) (7) (7) (07)   ;(00000111) (7) (7) (07)   ;
;7560;(11100110) (346) (230) (E6)    ;(00001111) (17) (15) (0F)   ;(10110011) (263) (179) (B3)   ;(01011111) (137) (95) (5F)   ;(00001010) (12) (10) (0A)   ;(10110011) (263) (179) (B3)   ;(00000010) (2) (2) (02)   ;(00001011) (13) (11) (0B)   ;
;7568;(00011000) (30) (24) (18)    ;(00001000) (10) (8) (08)   ;(11011101) (335) (221) (DD)   ;(01101110) (156) (110) (6E)   ;(11111000) (370) (248) (F8)   ;(11011101) (335) (221) (DD)   ;(01100110) (146) (102) (66)   ;(11111001) (371) (249) (F9)   ;
;7576;(01111110) (176) (126) (7E)    ;(00000010) (2) (2) (02)   ;(11011101) (335) (221) (DD)   ;(00110100) (64) (52) (34)   ;(11010000) (320) (208) (D0)   ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(11010011) (323) (211) (D3)   ;
;7584;(11101110) (356) (238) (EE)    ;(00000001) (1) (1) (01)   ;(11011101) (335) (221) (DD)   ;(01110111) (167) (119) (77)   ;(11010011) (323) (211) (D3)   ;(11011101) (335) (221) (DD)   ;(01101110) (156) (110) (6E)   ;(11111010) (372) (250) (FA)   ;
;7592;(11011101) (335) (221) (DD)    ;(01100110) (146) (102) (66)   ;(11111011) (373) (251) (FB)   ;(01011110) (136) (94) (5E)   ;(00100011) (43) (35) (23)   ;(01010110) (126) (86) (56)   ;(00100011) (43) (35) (23)   ;(00100011) (43) (35) (23)   ;
;7600;(01111110) (176) (126) (7E)    ;(00101011) (53) (43) (2B)   ;(01101110) (156) (110) (6E)   ;(10110101) (265) (181) (B5)   ;(10110010) (262) (178) (B2)   ;(10110011) (263) (179) (B3)   ;(00100000) (40) (32) (20)   ;(10011000) (230) (152) (98)   ;
;7608;(11011101) (335) (221) (DD)    ;(01110001) (161) (113) (71)   ;(11100100) (344) (228) (E4)   ;(11011101) (335) (221) (DD)   ;(01110000) (160) (112) (70)   ;(11100101) (345) (229) (E5)   ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;
;7616;(11010000) (320) (208) (D0)    ;(11011101) (335) (221) (DD)   ;(01110111) (167) (119) (77)   ;(11101000) (350) (232) (E8)   ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(11110110) (366) (246) (F6)   ;(10110111) (267) (183) (B7)   ;
;7624;(00100000) (40) (32) (20)    ;(00000100) (4) (4) (04)   ;(11011101) (335) (221) (DD)   ;(00110110) (66) (54) (36)   ;(11110110) (366) (246) (F6)   ;(00000001) (1) (1) (01)   ;(11011101) (335) (221) (DD)   ;(11001011) (313) (203) (CB)   ;
;7632;(11100000) (340) (224) (E0)    ;(01000110) (106) (70) (46)   ;(00100000) (40) (32) (20)   ;(01010100) (124) (84) (54)   ;(11011101) (335) (221) (DD)   ;(11001011) (313) (203) (CB)   ;(11100001) (341) (225) (E1)   ;(01000110) (106) (70) (46)   ;
;7640;(00100000) (40) (32) (20)    ;(01001110) (116) (78) (4E)   ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(11101000) (350) (232) (E8)   ;(00111100) (74) (60) (3C)   ;(11011101) (335) (221) (DD)   ;(01110111) (167) (119) (77)   ;
;7648;(11101100) (354) (236) (EC)    ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(11100010) (342) (226) (E2)   ;(11011101) (335) (221) (DD)   ;(01110111) (167) (119) (77)   ;(11110000) (360) (240) (F0)   ;(11011101) (335) (221) (DD)   ;
;7656;(01111110) (176) (126) (7E)    ;(11100011) (343) (227) (E3)   ;(11011101) (335) (221) (DD)   ;(01110111) (167) (119) (77)   ;(11110001) (361) (241) (F1)   ;(11011101) (335) (221) (DD)   ;(01001110) (116) (78) (4E)   ;(11110110) (366) (246) (F6)   ;
;7664;(11011101) (335) (221) (DD)    ;(01111110) (176) (126) (7E)   ;(11101100) (354) (236) (EC)   ;(10010001) (221) (145) (91)   ;(00110000) (60) (48) (30)   ;(00100011) (43) (35) (23)   ;(11000101) (305) (197) (C5)   ;(11011101) (335) (221) (DD)   ;
;7672;(01101110) (156) (110) (6E)    ;(00000110) (6) (6) (06)   ;(11011101) (335) (221) (DD)   ;(01100110) (146) (102) (66)   ;(00000111) (7) (7) (07)   ;(11100101) (345) (229) (E5)   ;(00111110) (76) (62) (3E)   ;(00100000) (40) (32) (20)   ;
;7680;(11110101) (365) (245) (F5)    ;(00110011) (63) (51) (33)   ;(11011101) (335) (221) (DD)   ;(01101110) (156) (110) (6E)   ;(00000100) (4) (4) (04)   ;(11011101) (335) (221) (DD)   ;(01100110) (146) (102) (66)   ;(00000101) (5) (5) (05)   ;
;7688;(11001101) (315) (205) (CD)    ;(01000101) (105) (69) (45)   ;(00100000) (40) (32) (20)   ;(11110001) (361) (241) (F1)   ;(00110011) (63) (51) (33)   ;(11000001) (301) (193) (C1)   ;(11011101) (335) (221) (DD)   ;(00110100) (64) (52) (34)   ;
;7696;(11110000) (360) (240) (F0)    ;(00100000) (40) (32) (20)   ;(00000011) (3) (3) (03)   ;(11011101) (335) (221) (DD)   ;(00110100) (64) (52) (34)   ;(11110001) (361) (241) (F1)   ;(00001101) (15) (13) (0D)   ;(00011000) (30) (24) (18)   ;
;7704;(11010111) (327) (215) (D7)    ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(11110000) (360) (240) (F0)   ;(11011101) (335) (221) (DD)   ;(01110111) (167) (119) (77)   ;(11100010) (342) (226) (E2)   ;(11011101) (335) (221) (DD)   ;
;7712;(01111110) (176) (126) (7E)    ;(11110001) (361) (241) (F1)   ;(11011101) (335) (221) (DD)   ;(01110111) (167) (119) (77)   ;(11100011) (343) (227) (E3)   ;(11011101) (335) (221) (DD)   ;(01110001) (161) (113) (71)   ;(11110110) (366) (246) (F6)   ;
;7720;(11011101) (335) (221) (DD)    ;(11001011) (313) (203) (CB)   ;(11011101) (335) (221) (DD)   ;(01000110) (106) (70) (46)   ;(00101000) (50) (40) (28)   ;(00100011) (43) (35) (23)   ;(11011101) (335) (221) (DD)   ;(01101110) (156) (110) (6E)   ;
;7728;(00000110) (6) (6) (06)    ;(11011101) (335) (221) (DD)   ;(01100110) (146) (102) (66)   ;(00000111) (7) (7) (07)   ;(11100101) (345) (229) (E5)   ;(00111110) (76) (62) (3E)   ;(00101101) (55) (45) (2D)   ;(11110101) (365) (245) (F5)   ;
;7736;(00110011) (63) (51) (33)    ;(11011101) (335) (221) (DD)   ;(01101110) (156) (110) (6E)   ;(00000100) (4) (4) (04)   ;(11011101) (335) (221) (DD)   ;(01100110) (146) (102) (66)   ;(00000101) (5) (5) (05)   ;(11001101) (315) (205) (CD)   ;
;7744;(01000101) (105) (69) (45)    ;(00100000) (40) (32) (20)   ;(11110001) (361) (241) (F1)   ;(00110011) (63) (51) (33)   ;(11011101) (335) (221) (DD)   ;(00110100) (64) (52) (34)   ;(11100010) (342) (226) (E2)   ;(00100000) (40) (32) (20)   ;
;7752;(00000011) (3) (3) (03)    ;(11011101) (335) (221) (DD)   ;(00110100) (64) (52) (34)   ;(11100011) (343) (227) (E3)   ;(11011101) (335) (221) (DD)   ;(00110101) (65) (53) (35)   ;(11110110) (366) (246) (F6)   ;(00011000) (30) (24) (18)   ;
;7760;(01010110) (126) (86) (56)    ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(11101000) (350) (232) (E8)   ;(10110111) (267) (183) (B7)   ;(00101000) (50) (40) (28)   ;(01010000) (120) (80) (50)   ;(11011101) (335) (221) (DD)   ;
;7768;(11001011) (313) (203) (CB)    ;(11011111) (337) (223) (DF)   ;(01000110) (106) (70) (46)   ;(00101000) (50) (40) (28)   ;(00100011) (43) (35) (23)   ;(11011101) (335) (221) (DD)   ;(01101110) (156) (110) (6E)   ;(00000110) (6) (6) (06)   ;
;7776;(11011101) (335) (221) (DD)    ;(01100110) (146) (102) (66)   ;(00000111) (7) (7) (07)   ;(11100101) (345) (229) (E5)   ;(00111110) (76) (62) (3E)   ;(00101011) (53) (43) (2B)   ;(11110101) (365) (245) (F5)   ;(00110011) (63) (51) (33)   ;
;7784;(11011101) (335) (221) (DD)    ;(01101110) (156) (110) (6E)   ;(00000100) (4) (4) (04)   ;(11011101) (335) (221) (DD)   ;(01100110) (146) (102) (66)   ;(00000101) (5) (5) (05)   ;(11001101) (315) (205) (CD)   ;(01000101) (105) (69) (45)   ;
;7792;(00100000) (40) (32) (20)    ;(11110001) (361) (241) (F1)   ;(00110011) (63) (51) (33)   ;(11011101) (335) (221) (DD)   ;(00110100) (64) (52) (34)   ;(11100010) (342) (226) (E2)   ;(00100000) (40) (32) (20)   ;(00000011) (3) (3) (03)   ;
;7800;(11011101) (335) (221) (DD)    ;(00110100) (64) (52) (34)   ;(11100011) (343) (227) (E3)   ;(11011101) (335) (221) (DD)   ;(00110101) (65) (53) (35)   ;(11110110) (366) (246) (F6)   ;(00011000) (30) (24) (18)   ;(00100111) (47) (39) (27)   ;
;7808;(11011101) (335) (221) (DD)    ;(11001011) (313) (203) (CB)   ;(11011110) (336) (222) (DE)   ;(01000110) (106) (70) (46)   ;(00101000) (50) (40) (28)   ;(00100001) (41) (33) (21)   ;(11011101) (335) (221) (DD)   ;(01101110) (156) (110) (6E)   ;
;7816;(00000110) (6) (6) (06)    ;(11011101) (335) (221) (DD)   ;(01100110) (146) (102) (66)   ;(00000111) (7) (7) (07)   ;(11100101) (345) (229) (E5)   ;(00111110) (76) (62) (3E)   ;(00100000) (40) (32) (20)   ;(11110101) (365) (245) (F5)   ;
;7824;(00110011) (63) (51) (33)    ;(11011101) (335) (221) (DD)   ;(01101110) (156) (110) (6E)   ;(00000100) (4) (4) (04)   ;(11011101) (335) (221) (DD)   ;(01100110) (146) (102) (66)   ;(00000101) (5) (5) (05)   ;(11001101) (315) (205) (CD)   ;
;7832;(01000101) (105) (69) (45)    ;(00100000) (40) (32) (20)   ;(11110001) (361) (241) (F1)   ;(00110011) (63) (51) (33)   ;(11011101) (335) (221) (DD)   ;(00110100) (64) (52) (34)   ;(11100010) (342) (226) (E2)   ;(00100000) (40) (32) (20)   ;
;7840;(00000011) (3) (3) (03)    ;(11011101) (335) (221) (DD)   ;(00110100) (64) (52) (34)   ;(11100011) (343) (227) (E3)   ;(11011101) (335) (221) (DD)   ;(00110101) (65) (53) (35)   ;(11110110) (366) (246) (F6)   ;(11011101) (335) (221) (DD)   ;
;7848;(11001011) (313) (203) (CB)    ;(11100001) (341) (225) (E1)   ;(01000110) (106) (70) (46)   ;(00100000) (40) (32) (20)   ;(01010111) (127) (87) (57)   ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(11100010) (342) (226) (E2)   ;
;7856;(11011101) (335) (221) (DD)    ;(01110111) (167) (119) (77)   ;(11101100) (354) (236) (EC)   ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(11100011) (343) (227) (E3)   ;(11011101) (335) (221) (DD)   ;(01110111) (167) (119) (77)   ;
;7864;(11101101) (355) (237) (ED)    ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(11110110) (366) (246) (F6)   ;(11011101) (335) (221) (DD)   ;(01110111) (167) (119) (77)   ;(11110000) (360) (240) (F0)   ;(11011101) (335) (221) (DD)   ;
;7872;(01001110) (116) (78) (4E)    ;(11110000) (360) (240) (F0)   ;(11011101) (335) (221) (DD)   ;(00110101) (65) (53) (35)   ;(11110000) (360) (240) (F0)   ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(11101000) (350) (232) (E8)   ;
;7880;(10010001) (221) (145) (91)    ;(00110000) (60) (48) (30)   ;(01010010) (122) (82) (52)   ;(11011101) (335) (221) (DD)   ;(11001011) (313) (203) (CB)   ;(11100000) (340) (224) (E0)   ;(01000110) (106) (70) (46)   ;(00101000) (50) (40) (28)   ;
;7888;(00001010) (12) (10) (0A)    ;(11011101) (335) (221) (DD)   ;(00110110) (66) (54) (36)   ;(11110100) (364) (244) (F4)   ;(00110000) (60) (48) (30)   ;(11011101) (335) (221) (DD)   ;(00110110) (66) (54) (36)   ;(11110101) (365) (245) (F5)   ;
;7896;(00000000) (0) (0) (00)    ;(00011000) (30) (24) (18)   ;(00001000) (10) (8) (08)   ;(11011101) (335) (221) (DD)   ;(00110110) (66) (54) (36)   ;(11110100) (364) (244) (F4)   ;(00100000) (40) (32) (20)   ;(11011101) (335) (221) (DD)   ;
;7904;(00110110) (66) (54) (36)    ;(11110101) (365) (245) (F5)   ;(00000000) (0) (0) (00)   ;(11011101) (335) (221) (DD)   ;(01000110) (106) (70) (46)   ;(11110100) (364) (244) (F4)   ;(11011101) (335) (221) (DD)   ;(01101110) (156) (110) (6E)   ;
;7912;(00000110) (6) (6) (06)    ;(11011101) (335) (221) (DD)   ;(01100110) (146) (102) (66)   ;(00000111) (7) (7) (07)   ;(11100101) (345) (229) (E5)   ;(11000101) (305) (197) (C5)   ;(00110011) (63) (51) (33)   ;(11011101) (335) (221) (DD)   ;
;7920;(01101110) (156) (110) (6E)    ;(00000100) (4) (4) (04)   ;(11011101) (335) (221) (DD)   ;(01100110) (146) (102) (66)   ;(00000101) (5) (5) (05)   ;(11001101) (315) (205) (CD)   ;(01000101) (105) (69) (45)   ;(00100000) (40) (32) (20)   ;
;7928;(11110001) (361) (241) (F1)    ;(00110011) (63) (51) (33)   ;(11011101) (335) (221) (DD)   ;(00110100) (64) (52) (34)   ;(11101100) (354) (236) (EC)   ;(00100000) (40) (32) (20)   ;(11000000) (300) (192) (C0)   ;(11011101) (335) (221) (DD)   ;
;7936;(00110100) (64) (52) (34)    ;(11101101) (355) (237) (ED)   ;(00011000) (30) (24) (18)   ;(10111011) (273) (187) (BB)   ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(11101000) (350) (232) (E8)   ;(11011101) (335) (221) (DD)   ;
;7944;(10010110) (226) (150) (96)    ;(11110110) (366) (246) (F6)   ;(00110000) (60) (48) (30)   ;(00001011) (13) (11) (0B)   ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(11110110) (366) (246) (F6)   ;(11011101) (335) (221) (DD)   ;
;7952;(10010110) (226) (150) (96)    ;(11101000) (350) (232) (E8)   ;(11011101) (335) (221) (DD)   ;(01110111) (167) (119) (77)   ;(11110010) (362) (242) (F2)   ;(00011000) (30) (24) (18)   ;(00011000) (30) (24) (18)   ;(11011101) (335) (221) (DD)   ;
;7960;(00110110) (66) (54) (36)    ;(11110010) (362) (242) (F2)   ;(00000000) (0) (0) (00)   ;(00011000) (30) (24) (18)   ;(00010010) (22) (18) (12)   ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(11101100) (354) (236) (EC)   ;
;7968;(11011101) (335) (221) (DD)    ;(01110111) (167) (119) (77)   ;(11100010) (342) (226) (E2)   ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(11101101) (355) (237) (ED)   ;(11011101) (335) (221) (DD)   ;(01110111) (167) (119) (77)   ;
;7976;(11100011) (343) (227) (E3)    ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(11110000) (360) (240) (F0)   ;(11011101) (335) (221) (DD)   ;(01110111) (167) (119) (77)   ;(11110010) (362) (242) (F2)   ;(11011101) (335) (221) (DD)   ;
;7984;(01111110) (176) (126) (7E)    ;(11100100) (344) (228) (E4)   ;(11011101) (335) (221) (DD)   ;(01110111) (167) (119) (77)   ;(11100100) (344) (228) (E4)   ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(11100101) (345) (229) (E5)   ;
;7992;(11011101) (335) (221) (DD)    ;(01110111) (167) (119) (77)   ;(11100101) (345) (229) (E5)   ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(11100010) (342) (226) (E2)   ;(11011101) (335) (221) (DD)   ;(01110111) (167) (119) (77)   ;
;8000;(11101100) (354) (236) (EC)    ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(11100011) (343) (227) (E3)   ;(11011101) (335) (221) (DD)   ;(01110111) (167) (119) (77)   ;(11101101) (355) (237) (ED)   ;(11011101) (335) (221) (DD)   ;
;8008;(01111110) (176) (126) (7E)    ;(11101000) (350) (232) (E8)   ;(11011101) (335) (221) (DD)   ;(01110111) (167) (119) (77)   ;(11101000) (350) (232) (E8)   ;(11011101) (335) (221) (DD)   ;(01001110) (116) (78) (4E)   ;(11101000) (350) (232) (E8)   ;
;8016;(11011101) (335) (221) (DD)    ;(00110101) (65) (53) (35)   ;(11101000) (350) (232) (E8)   ;(01111001) (171) (121) (79)   ;(10110111) (267) (183) (B7)   ;(00101000) (50) (40) (28)   ;(01101010) (152) (106) (6A)   ;(11011101) (335) (221) (DD)   ;
;8024;(01111110) (176) (126) (7E)    ;(11010011) (323) (211) (D3)   ;(11101110) (356) (238) (EE)   ;(00000001) (1) (1) (01)   ;(11011101) (335) (221) (DD)   ;(01110111) (167) (119) (77)   ;(11010011) (323) (211) (D3)   ;(11011101) (335) (221) (DD)   ;
;8032;(11001011) (313) (203) (CB)    ;(11010011) (323) (211) (D3)   ;(01000110) (106) (70) (46)   ;(00100000) (40) (32) (20)   ;(00011110) (36) (30) (1E)   ;(11011101) (335) (221) (DD)   ;(00110100) (64) (52) (34)   ;(11100100) (344) (228) (E4)   ;
;8040;(00100000) (40) (32) (20)    ;(00000011) (3) (3) (03)   ;(11011101) (335) (221) (DD)   ;(00110100) (64) (52) (34)   ;(11100101) (345) (229) (E5)   ;(11011101) (335) (221) (DD)   ;(01101110) (156) (110) (6E)   ;(11100100) (344) (228) (E4)   ;
;8048;(11011101) (335) (221) (DD)    ;(01100110) (146) (102) (66)   ;(11100101) (345) (229) (E5)   ;(01111110) (176) (126) (7E)   ;(00000111) (7) (7) (07)   ;(00000111) (7) (7) (07)   ;(00000111) (7) (7) (07)   ;(00000111) (7) (7) (07)   ;
;8056;(11100110) (346) (230) (E6)    ;(00001111) (17) (15) (0F)   ;(11011101) (335) (221) (DD)   ;(01101110) (156) (110) (6E)   ;(11111000) (370) (248) (F8)   ;(11011101) (335) (221) (DD)   ;(01100110) (146) (102) (66)   ;(11111001) (371) (249) (F9)   ;
;8064;(01110111) (167) (119) (77)    ;(00011000) (30) (24) (18)   ;(00010000) (20) (16) (10)   ;(11011101) (335) (221) (DD)   ;(01101110) (156) (110) (6E)   ;(11100100) (344) (228) (E4)   ;(11011101) (335) (221) (DD)   ;(01100110) (146) (102) (66)   ;
;8072;(11100101) (345) (229) (E5)    ;(01111110) (176) (126) (7E)   ;(11100110) (346) (230) (E6)   ;(00001111) (17) (15) (0F)   ;(11011101) (335) (221) (DD)   ;(01101110) (156) (110) (6E)   ;(11111000) (370) (248) (F8)   ;(11011101) (335) (221) (DD)   ;
;8080;(01100110) (146) (102) (66)    ;(11111001) (371) (249) (F9)   ;(01110111) (167) (119) (77)   ;(11011101) (335) (221) (DD)   ;(01101110) (156) (110) (6E)   ;(11111000) (370) (248) (F8)   ;(11011101) (335) (221) (DD)   ;(01100110) (146) (102) (66)   ;
;8088;(11111001) (371) (249) (F9)    ;(01000110) (106) (70) (46)   ;(11011101) (335) (221) (DD)   ;(01101110) (156) (110) (6E)   ;(00000110) (6) (6) (06)   ;(11011101) (335) (221) (DD)   ;(01100110) (146) (102) (66)   ;(00000111) (7) (7) (07)   ;
;8096;(11100101) (345) (229) (E5)    ;(11011101) (335) (221) (DD)   ;(01101110) (156) (110) (6E)   ;(00000100) (4) (4) (04)   ;(11011101) (335) (221) (DD)   ;(01100110) (146) (102) (66)   ;(00000101) (5) (5) (05)   ;(11100101) (345) (229) (E5)   ;
;8104;(11011101) (335) (221) (DD)    ;(01111110) (176) (126) (7E)   ;(11011001) (331) (217) (D9)   ;(11110101) (365) (245) (F5)   ;(00110011) (63) (51) (33)   ;(11000101) (305) (197) (C5)   ;(00110011) (63) (51) (33)   ;(11001101) (315) (205) (CD)   ;
;8112;(00100011) (43) (35) (23)    ;(00010101) (25) (21) (15)   ;(00100001) (41) (33) (21)   ;(00000110) (6) (6) (06)   ;(00000000) (0) (0) (00)   ;(00111001) (71) (57) (39)   ;(11111001) (371) (249) (F9)   ;(11011101) (335) (221) (DD)   ;
;8120;(00110100) (64) (52) (34)    ;(11101100) (354) (236) (EC)   ;(00100000) (40) (32) (20)   ;(10010001) (221) (145) (91)   ;(11011101) (335) (221) (DD)   ;(00110100) (64) (52) (34)   ;(11101101) (355) (237) (ED)   ;(00011000) (30) (24) (18)   ;
;8128;(10001100) (214) (140) (8C)    ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(11101100) (354) (236) (EC)   ;(11011101) (335) (221) (DD)   ;(01110111) (167) (119) (77)   ;(11100010) (342) (226) (E2)   ;(11011101) (335) (221) (DD)   ;
;8136;(01111110) (176) (126) (7E)    ;(11101101) (355) (237) (ED)   ;(11011101) (335) (221) (DD)   ;(01110111) (167) (119) (77)   ;(11100011) (343) (227) (E3)   ;(11011101) (335) (221) (DD)   ;(11001011) (313) (203) (CB)   ;(11100001) (341) (225) (E1)   ;
;8144;(01000110) (106) (70) (46)    ;(11001010) (312) (202) (CA)   ;(01101001) (151) (105) (69)   ;(00010110) (26) (22) (16)   ;(11011101) (335) (221) (DD)   ;(01001110) (116) (78) (4E)   ;(11101100) (354) (236) (EC)   ;(11011101) (335) (221) (DD)   ;
;8152;(01000110) (106) (70) (46)    ;(11101101) (355) (237) (ED)   ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(11110010) (362) (242) (F2)   ;(11011101) (335) (221) (DD)   ;(01110111) (167) (119) (77)   ;(11100100) (344) (228) (E4)   ;
;8160;(11011101) (335) (221) (DD)    ;(01011110) (136) (94) (5E)   ;(11100100) (344) (228) (E4)   ;(11011101) (335) (221) (DD)   ;(00110101) (65) (53) (35)   ;(11100100) (344) (228) (E4)   ;(01111011) (173) (123) (7B)   ;(10110111) (267) (183) (B7)   ;
;8168;(11001010) (312) (202) (CA)    ;(01101001) (151) (105) (69)   ;(00010110) (26) (22) (16)   ;(11000101) (305) (197) (C5)   ;(11011101) (335) (221) (DD)   ;(01101110) (156) (110) (6E)   ;(00000110) (6) (6) (06)   ;(11011101) (335) (221) (DD)   ;
;8176;(01100110) (146) (102) (66)    ;(00000111) (7) (7) (07)   ;(11100101) (345) (229) (E5)   ;(00111110) (76) (62) (3E)   ;(00100000) (40) (32) (20)   ;(11110101) (365) (245) (F5)   ;(00110011) (63) (51) (33)   ;(11011101) (335) (221) (DD)   ;
;8184;(01101110) (156) (110) (6E)    ;(00000100) (4) (4) (04)   ;(11011101) (335) (221) (DD)   ;(01100110) (146) (102) (66)   ;(00000101) (5) (5) (05)   ;(11001101) (315) (205) (CD)   ;(01000101) (105) (69) (45)   ;(00100000) (40) (32) (20)   ;
;8192;(11110001) (361) (241) (F1)    ;(00110011) (63) (51) (33)   ;(11000001) (301) (193) (C1)   ;(00000011) (3) (3) (03)   ;(11011101) (335) (221) (DD)   ;(01110001) (161) (113) (71)   ;(11100010) (342) (226) (E2)   ;(11011101) (335) (221) (DD)   ;
;8200;(01110000) (160) (112) (70)    ;(11100011) (343) (227) (E3)   ;(00011000) (30) (24) (18)   ;(11010100) (324) (212) (D4)   ;(11011101) (335) (221) (DD)   ;(01101110) (156) (110) (6E)   ;(00000110) (6) (6) (06)   ;(11011101) (335) (221) (DD)   ;
;8208;(01100110) (146) (102) (66)    ;(00000111) (7) (7) (07)   ;(11100101) (345) (229) (E5)   ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(11110111) (367) (247) (F7)   ;(11110101) (365) (245) (F5)   ;(00110011) (63) (51) (33)   ;
;8216;(11011101) (335) (221) (DD)    ;(01101110) (156) (110) (6E)   ;(00000100) (4) (4) (04)   ;(11011101) (335) (221) (DD)   ;(01100110) (146) (102) (66)   ;(00000101) (5) (5) (05)   ;(11001101) (315) (205) (CD)   ;(01000101) (105) (69) (45)   ;
;8224;(00100000) (40) (32) (20)    ;(11110001) (361) (241) (F1)   ;(00110011) (63) (51) (33)   ;(11011101) (335) (221) (DD)   ;(00110100) (64) (52) (34)   ;(11100010) (342) (226) (E2)   ;(11000010) (302) (194) (C2)   ;(01101001) (151) (105) (69)   ;
;8232;(00010110) (26) (22) (16)    ;(11011101) (335) (221) (DD)   ;(00110100) (64) (52) (34)   ;(11100011) (343) (227) (E3)   ;(11000011) (303) (195) (C3)   ;(01101001) (151) (105) (69)   ;(00010110) (26) (22) (16)   ;(11011101) (335) (221) (DD)   ;
;8240;(01101110) (156) (110) (6E)    ;(11100010) (342) (226) (E2)   ;(11011101) (335) (221) (DD)   ;(01100110) (146) (102) (66)   ;(11100011) (343) (227) (E3)   ;(11011101) (335) (221) (DD)   ;(11111001) (371) (249) (F9)   ;(11011101) (335) (221) (DD)   ;
;8248;(11100001) (341) (225) (E1)    ;(11001001) (311) (201) (C9)   ;(00111100) (74) (60) (3C)   ;(01001110) (116) (78) (4E)   ;(01001111) (117) (79) (4F)   ;(00100000) (40) (32) (20)   ;(01000110) (106) (70) (46)   ;(01001100) (114) (76) (4C)   ;
;8256;(01001111) (117) (79) (4F)    ;(01000001) (101) (65) (41)   ;(01010100) (124) (84) (54)   ;(00111110) (76) (62) (3E)   ;(00000000) (0) (0) (00)   ;(11101001) (351) (233) (E9)   ;(10101111) (257) (175) (AF)   ;(00110010) (62) (50) (32)   ;
;8264;(11001101) (315) (205) (CD)    ;(01010111) (127) (87) (57)   ;(00111110) (76) (62) (3E)   ;(00111100) (74) (60) (3C)   ;(00110010) (62) (50) (32)   ;(11001011) (313) (203) (CB)   ;(01010111) (127) (87) (57)   ;(00111110) (76) (62) (3E)   ;
;8272;(01010000) (120) (80) (50)    ;(00110010) (62) (50) (32)   ;(11001100) (314) (204) (CC)   ;(01010111) (127) (87) (57)   ;(00101010) (52) (42) (2A)   ;(11010100) (324) (212) (D4)   ;(01010111) (127) (87) (57)   ;(00100010) (42) (34) (22)   ;
;8280;(11010000) (320) (208) (D0)    ;(01010111) (127) (87) (57)   ;(10101111) (257) (175) (AF)   ;(00110010) (62) (50) (32)   ;(11001110) (316) (206) (CE)   ;(01010111) (127) (87) (57)   ;(00110010) (62) (50) (32)   ;(11001111) (317) (207) (CF)   ;
;8288;(01010111) (127) (87) (57)    ;(11001001) (311) (201) (C9)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;8296;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;8304;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;8312;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;8320;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;8328;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;8336;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;8344;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;8352;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;8360;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;8368;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;8376;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;8384;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;8392;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;8400;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;8408;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;8416;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;8424;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;8432;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;8440;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;8448;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;8456;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;8464;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;8472;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;8480;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;8488;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;8496;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;8504;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;8512;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;8520;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;8528;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;8536;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;8544;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;8552;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;8560;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;8568;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;8576;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;8584;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;8592;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;8600;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;8608;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;8616;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;8624;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;8632;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;8640;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;8648;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;8656;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;8664;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;8672;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;8680;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;8688;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;8696;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;8704;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;8712;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;8720;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;8728;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;8736;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;8744;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;8752;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;8760;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;8768;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;8776;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;8784;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;8792;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;8800;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;8808;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;8816;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;8824;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;8832;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;8840;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;8848;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;8856;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;8864;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;8872;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;8880;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;8888;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;8896;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;8904;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;8912;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;8920;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;8928;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;8936;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;8944;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;8952;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;8960;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;8968;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;8976;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;8984;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;8992;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;9000;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;9008;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;9016;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;9024;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;9032;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;9040;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;9048;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;9056;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;9064;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;9072;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;9080;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;9088;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;9096;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;9104;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;9112;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;9120;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;9128;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;9136;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;9144;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;9152;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;9160;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;9168;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;9176;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;9184;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;9192;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;9200;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;9208;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;9216;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;9224;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;9232;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;9240;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;9248;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;9256;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;9264;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;9272;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;9280;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;9288;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;9296;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;9304;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;9312;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;9320;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;9328;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;9336;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;9344;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;9352;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;9360;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;9368;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;9376;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;9384;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;9392;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;9400;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;9408;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;9416;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;9424;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;9432;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;9440;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;9448;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;9456;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;9464;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;9472;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;9480;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;9488;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;9496;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;9504;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;9512;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;9520;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;9528;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;9536;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;9544;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;9552;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;9560;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;9568;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;9576;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;9584;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;9592;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;9600;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;9608;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;9616;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;9624;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;9632;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;9640;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;9648;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;9656;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;9664;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;9672;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;9680;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;9688;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;9696;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;9704;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;9712;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;9720;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;9728;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;9736;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;9744;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;9752;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;9760;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;9768;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;9776;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;9784;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;9792;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;9800;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;9808;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;9816;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;9824;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;9832;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;9840;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;9848;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;9856;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;9864;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;9872;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;9880;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;9888;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;9896;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;9904;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;9912;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;9920;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;9928;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;9936;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;9944;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;9952;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;9960;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;9968;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;9976;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;9984;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;9992;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;10000;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;10008;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;10016;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;10024;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;10032;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;10040;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;10048;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;10056;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;10064;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;10072;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;10080;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;10088;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;10096;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;10104;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;10112;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;10120;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;10128;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;10136;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;10144;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;10152;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;10160;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;10168;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;10176;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;10184;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;10192;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;10200;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;10208;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;10216;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;10224;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;10232;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;10240;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;10248;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;10256;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;10264;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;10272;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;10280;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;10288;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;10296;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;10304;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;10312;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;10320;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;10328;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;10336;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;10344;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;10352;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;10360;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;10368;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;10376;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;10384;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;10392;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;10400;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;10408;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;10416;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;10424;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;10432;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;10440;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;10448;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;10456;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;10464;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;10472;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;10480;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;10488;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;10496;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;10504;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;10512;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;10520;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;10528;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;10536;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;10544;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;10552;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;10560;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;10568;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;10576;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;10584;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;10592;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;10600;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;10608;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;10616;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;10624;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;10632;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;10640;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;10648;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;10656;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;10664;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;10672;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;10680;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;10688;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;10696;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;10704;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;10712;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;10720;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;10728;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;10736;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;10744;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;10752;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;10760;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;10768;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;10776;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;10784;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;10792;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;10800;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;10808;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;10816;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;10824;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;10832;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;10840;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;10848;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;10856;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;10864;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;10872;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;10880;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;10888;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;10896;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;10904;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;10912;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;10920;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;10928;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;10936;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;10944;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;10952;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;10960;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;10968;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;10976;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;10984;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;10992;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;11000;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;11008;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;11016;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;11024;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;11032;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;11040;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;11048;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;11056;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;11064;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;11072;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;11080;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;11088;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;11096;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;11104;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;11112;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;11120;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;11128;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;11136;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;11144;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;11152;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;11160;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;11168;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;11176;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;11184;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;11192;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;11200;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;11208;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;11216;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;11224;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;11232;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;11240;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;11248;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;11256;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;11264;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;11272;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;11280;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;11288;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;11296;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;11304;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;11312;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;11320;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;11328;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;11336;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;11344;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;11352;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;11360;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;11368;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;11376;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;11384;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;11392;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;11400;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;11408;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;11416;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;11424;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;11432;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;11440;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;11448;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;11456;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;11464;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;11472;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;11480;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;11488;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;11496;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;11504;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;11512;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;11520;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;11528;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;11536;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;11544;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;11552;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;11560;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;11568;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;11576;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;11584;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;11592;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;11600;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;11608;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;11616;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;11624;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;11632;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;11640;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;11648;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;11656;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;11664;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;11672;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;11680;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;11688;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;11696;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;11704;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;11712;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;11720;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;11728;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;11736;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;11744;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;11752;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;11760;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;11768;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;11776;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;11784;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;11792;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;11800;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;11808;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;11816;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;11824;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;11832;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;11840;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;11848;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;11856;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;11864;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;11872;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;11880;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;11888;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;11896;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;11904;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;11912;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;11920;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;11928;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;11936;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;11944;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;11952;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;11960;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;11968;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;11976;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;11984;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;11992;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;12000;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;12008;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;12016;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;12024;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;12032;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;12040;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;12048;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;12056;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;12064;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;12072;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;12080;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;12088;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;12096;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;12104;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;12112;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;12120;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;12128;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;12136;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;12144;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;12152;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;12160;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;12168;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;12176;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;12184;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;12192;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;12200;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;12208;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;12216;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;12224;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;12232;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;12240;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;12248;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;12256;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;12264;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;12272;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;12280;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;12288;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;12296;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;12304;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;12312;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;12320;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;12328;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;12336;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;12344;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;12352;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;12360;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;12368;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;12376;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;12384;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;12392;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;12400;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;12408;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;12416;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;12424;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;12432;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;12440;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;12448;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;12456;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;12464;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;12472;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;12480;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;12488;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;12496;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;12504;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;12512;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;12520;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;12528;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;12536;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;12544;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;12552;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;12560;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;12568;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;12576;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;12584;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;12592;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;12600;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;12608;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;12616;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;12624;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;12632;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;12640;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;12648;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;12656;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;12664;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;12672;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;12680;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;12688;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;12696;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;12704;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;12712;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;12720;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;12728;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;12736;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;12744;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;12752;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;12760;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;12768;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;12776;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;12784;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;12792;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;12800;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;12808;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;12816;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;12824;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;12832;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;12840;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;12848;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;12856;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;12864;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;12872;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;12880;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;12888;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;12896;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;12904;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;12912;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;12920;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;12928;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;12936;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;12944;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;12952;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;12960;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;12968;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;12976;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;12984;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;12992;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;13000;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;13008;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;13016;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;13024;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;13032;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;13040;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;13048;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;13056;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;13064;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;13072;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;13080;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;13088;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;13096;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;13104;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;13112;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;13120;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;13128;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;13136;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;13144;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;13152;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;13160;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;13168;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;13176;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;13184;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;13192;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;13200;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;13208;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;13216;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;13224;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;13232;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;13240;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;13248;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;13256;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;13264;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;13272;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;13280;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;13288;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;13296;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;13304;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;13312;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;13320;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;13328;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;13336;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;13344;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;13352;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;13360;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;13368;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;13376;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;13384;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;13392;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;13400;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;13408;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;13416;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;13424;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;13432;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;13440;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;13448;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;13456;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;13464;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;13472;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;13480;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;13488;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;13496;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;13504;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;13512;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;13520;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;13528;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;13536;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;13544;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;13552;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;13560;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;13568;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;13576;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;13584;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;13592;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;13600;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;13608;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;13616;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;13624;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;13632;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;13640;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;13648;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;13656;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;13664;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;13672;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;13680;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;13688;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;13696;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;13704;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;13712;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;13720;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;13728;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;13736;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;13744;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;13752;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;13760;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;13768;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;13776;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;13784;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;13792;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;13800;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;13808;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;13816;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;13824;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;13832;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;13840;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;13848;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;13856;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;13864;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;13872;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;13880;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;13888;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;13896;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;13904;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;13912;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;13920;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;13928;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;13936;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;13944;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;13952;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;13960;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;13968;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;13976;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;13984;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;13992;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;14000;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;14008;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;14016;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;14024;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;14032;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;14040;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;14048;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;14056;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;14064;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;14072;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;14080;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;14088;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;14096;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;14104;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;14112;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;14120;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;14128;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;14136;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;14144;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;14152;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;14160;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;14168;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;14176;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;14184;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;14192;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;14200;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;14208;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;14216;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;14224;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;14232;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;14240;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;14248;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;14256;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;14264;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;14272;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;14280;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;14288;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;14296;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;14304;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;14312;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;14320;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;14328;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;14336;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;14344;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;14352;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;14360;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;14368;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;14376;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;14384;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;14392;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;14400;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;14408;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;14416;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;14424;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;14432;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;14440;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;14448;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;14456;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;14464;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;14472;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;14480;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;14488;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;14496;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;14504;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;14512;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;14520;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;14528;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;14536;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;14544;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;14552;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;14560;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;14568;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;14576;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;14584;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;14592;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;14600;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;14608;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;14616;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;14624;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;14632;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;14640;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;14648;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;14656;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;14664;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;14672;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;14680;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;14688;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;14696;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;14704;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;14712;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;14720;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;14728;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;14736;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;14744;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;14752;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;14760;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;14768;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;14776;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;14784;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;14792;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;14800;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;14808;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;14816;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;14824;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;14832;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;14840;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;14848;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;14856;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;14864;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;14872;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;14880;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;14888;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;14896;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;14904;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;14912;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;14920;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;14928;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;14936;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;14944;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;14952;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;14960;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;14968;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;14976;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;14984;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;14992;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;15000;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;15008;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;15016;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;15024;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;15032;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;15040;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;15048;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;15056;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;15064;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;15072;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;15080;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;15088;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;15096;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;15104;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;15112;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;15120;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;15128;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;15136;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;15144;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;15152;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;15160;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;15168;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;15176;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;15184;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;15192;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;15200;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;15208;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;15216;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;15224;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;15232;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;15240;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;15248;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;15256;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;15264;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;15272;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;15280;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;15288;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;15296;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;15304;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;15312;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;15320;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;15328;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;15336;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;15344;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;15352;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;15360;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;15368;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;15376;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;15384;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;15392;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;15400;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;15408;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;15416;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;15424;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;15432;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;15440;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;15448;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;15456;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;15464;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;15472;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;15480;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;15488;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;15496;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;15504;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;15512;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;15520;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;15528;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;15536;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;15544;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;15552;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;15560;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;15568;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;15576;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;15584;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;15592;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;15600;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;15608;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;15616;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;15624;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;15632;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;15640;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;15648;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;15656;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;15664;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;15672;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;15680;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;15688;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;15696;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;15704;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;15712;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;15720;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;15728;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;15736;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;15744;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;15752;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;15760;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;15768;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;15776;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;15784;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;15792;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;15800;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;15808;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;15816;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;15824;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;15832;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;15840;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;15848;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;15856;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;15864;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;15872;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;15880;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;15888;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;15896;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;15904;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;15912;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;15920;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;15928;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;15936;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;15944;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;15952;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;15960;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;15968;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;15976;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;15984;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;15992;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;16000;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;16008;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;16016;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;16024;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;16032;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;16040;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;16048;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;16056;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;16064;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;16072;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;16080;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;16088;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;16096;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;16104;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;16112;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;16120;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;16128;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;16136;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;16144;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;16152;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;16160;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;16168;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;16176;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;16184;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;16192;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;16200;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;16208;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;16216;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;16224;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;16232;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;16240;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;16248;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;16256;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;16264;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;16272;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;16280;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;16288;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;16296;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;16304;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;16312;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;16320;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;16328;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;16336;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;16344;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;16352;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;16360;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;16368;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
;16376;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;


+-------------------------------------------------------+
; Other Routing Usage Summary                           ;
+-----------------------------+-------------------------+
; Other Routing Resource Type ; Usage                   ;
+-----------------------------+-------------------------+
; Block interconnects         ; 5,750 / 342,891 ( 2 % ) ;
; C16 interconnects           ; 355 / 10,120 ( 4 % )    ;
; C4 interconnects            ; 4,137 / 209,544 ( 2 % ) ;
; Direct links                ; 462 / 342,891 ( < 1 % ) ;
; Global clocks               ; 20 / 20 ( 100 % )       ;
; Local interconnects         ; 2,002 / 119,088 ( 2 % ) ;
; R24 interconnects           ; 410 / 9,963 ( 4 % )     ;
; R4 interconnects            ; 4,548 / 289,782 ( 2 % ) ;
+-----------------------------+-------------------------+


+-----------------------------------------------------------------------------+
; LAB Logic Elements                                                          ;
+---------------------------------------------+-------------------------------+
; Number of Logic Elements  (Average = 14.10) ; Number of LABs  (Total = 226) ;
+---------------------------------------------+-------------------------------+
; 1                                           ; 3                             ;
; 2                                           ; 4                             ;
; 3                                           ; 8                             ;
; 4                                           ; 0                             ;
; 5                                           ; 2                             ;
; 6                                           ; 1                             ;
; 7                                           ; 0                             ;
; 8                                           ; 1                             ;
; 9                                           ; 5                             ;
; 10                                          ; 9                             ;
; 11                                          ; 2                             ;
; 12                                          ; 2                             ;
; 13                                          ; 8                             ;
; 14                                          ; 16                            ;
; 15                                          ; 21                            ;
; 16                                          ; 144                           ;
+---------------------------------------------+-------------------------------+


+--------------------------------------------------------------------+
; LAB-wide Signals                                                   ;
+------------------------------------+-------------------------------+
; LAB-wide Signals  (Average = 1.18) ; Number of LABs  (Total = 226) ;
+------------------------------------+-------------------------------+
; 1 Async. clear                     ; 54                            ;
; 1 Clock                            ; 120                           ;
; 1 Clock enable                     ; 52                            ;
; 1 Sync. clear                      ; 1                             ;
; 1 Sync. load                       ; 7                             ;
; 2 Clock enables                    ; 21                            ;
; 2 Clocks                           ; 12                            ;
+------------------------------------+-------------------------------+


+------------------------------------------------------------------------------+
; LAB Signals Sourced                                                          ;
+----------------------------------------------+-------------------------------+
; Number of Signals Sourced  (Average = 16.84) ; Number of LABs  (Total = 226) ;
+----------------------------------------------+-------------------------------+
; 0                                            ; 0                             ;
; 1                                            ; 2                             ;
; 2                                            ; 4                             ;
; 3                                            ; 8                             ;
; 4                                            ; 1                             ;
; 5                                            ; 0                             ;
; 6                                            ; 0                             ;
; 7                                            ; 0                             ;
; 8                                            ; 0                             ;
; 9                                            ; 4                             ;
; 10                                           ; 3                             ;
; 11                                           ; 2                             ;
; 12                                           ; 2                             ;
; 13                                           ; 2                             ;
; 14                                           ; 7                             ;
; 15                                           ; 13                            ;
; 16                                           ; 64                            ;
; 17                                           ; 27                            ;
; 18                                           ; 15                            ;
; 19                                           ; 10                            ;
; 20                                           ; 19                            ;
; 21                                           ; 9                             ;
; 22                                           ; 12                            ;
; 23                                           ; 6                             ;
; 24                                           ; 5                             ;
; 25                                           ; 1                             ;
; 26                                           ; 2                             ;
; 27                                           ; 4                             ;
; 28                                           ; 2                             ;
; 29                                           ; 0                             ;
; 30                                           ; 1                             ;
; 31                                           ; 1                             ;
+----------------------------------------------+-------------------------------+


+---------------------------------------------------------------------------------+
; LAB Signals Sourced Out                                                         ;
+-------------------------------------------------+-------------------------------+
; Number of Signals Sourced Out  (Average = 8.13) ; Number of LABs  (Total = 226) ;
+-------------------------------------------------+-------------------------------+
; 0                                               ; 0                             ;
; 1                                               ; 18                            ;
; 2                                               ; 12                            ;
; 3                                               ; 19                            ;
; 4                                               ; 10                            ;
; 5                                               ; 12                            ;
; 6                                               ; 18                            ;
; 7                                               ; 20                            ;
; 8                                               ; 17                            ;
; 9                                               ; 19                            ;
; 10                                              ; 19                            ;
; 11                                              ; 9                             ;
; 12                                              ; 7                             ;
; 13                                              ; 11                            ;
; 14                                              ; 5                             ;
; 15                                              ; 13                            ;
; 16                                              ; 10                            ;
; 17                                              ; 2                             ;
; 18                                              ; 1                             ;
; 19                                              ; 0                             ;
; 20                                              ; 0                             ;
; 21                                              ; 1                             ;
; 22                                              ; 0                             ;
; 23                                              ; 2                             ;
; 24                                              ; 1                             ;
+-------------------------------------------------+-------------------------------+


+------------------------------------------------------------------------------+
; LAB Distinct Inputs                                                          ;
+----------------------------------------------+-------------------------------+
; Number of Distinct Inputs  (Average = 19.30) ; Number of LABs  (Total = 226) ;
+----------------------------------------------+-------------------------------+
; 0                                            ; 0                             ;
; 1                                            ; 3                             ;
; 2                                            ; 7                             ;
; 3                                            ; 4                             ;
; 4                                            ; 10                            ;
; 5                                            ; 2                             ;
; 6                                            ; 0                             ;
; 7                                            ; 2                             ;
; 8                                            ; 3                             ;
; 9                                            ; 5                             ;
; 10                                           ; 3                             ;
; 11                                           ; 6                             ;
; 12                                           ; 9                             ;
; 13                                           ; 7                             ;
; 14                                           ; 6                             ;
; 15                                           ; 10                            ;
; 16                                           ; 10                            ;
; 17                                           ; 7                             ;
; 18                                           ; 6                             ;
; 19                                           ; 8                             ;
; 20                                           ; 11                            ;
; 21                                           ; 8                             ;
; 22                                           ; 3                             ;
; 23                                           ; 8                             ;
; 24                                           ; 13                            ;
; 25                                           ; 5                             ;
; 26                                           ; 9                             ;
; 27                                           ; 11                            ;
; 28                                           ; 11                            ;
; 29                                           ; 5                             ;
; 30                                           ; 9                             ;
; 31                                           ; 7                             ;
; 32                                           ; 8                             ;
; 33                                           ; 10                            ;
+----------------------------------------------+-------------------------------+


+------------------------------------------+
; I/O Rules Summary                        ;
+----------------------------------+-------+
; I/O Rules Statistic              ; Total ;
+----------------------------------+-------+
; Total I/O Rules                  ; 30    ;
; Number of I/O Rules Passed       ; 13    ;
; Number of I/O Rules Failed       ; 0     ;
; Number of I/O Rules Unchecked    ; 0     ;
; Number of I/O Rules Inapplicable ; 17    ;
+----------------------------------+-------+


+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; I/O Rules Details                                                                                                                                                                                                                                                                                   ;
+--------------+-----------+-----------------------------------+------------------------------------------------------------------------------------------------------+----------+--------------------------------------------------------------------------+---------------------+-------------------+
; Status       ; ID        ; Category                          ; Rule Description                                                                                     ; Severity ; Information                                                              ; Area                ; Extra Information ;
+--------------+-----------+-----------------------------------+------------------------------------------------------------------------------------------------------+----------+--------------------------------------------------------------------------+---------------------+-------------------+
; Pass         ; IO_000001 ; Capacity Checks                   ; Number of pins in an I/O bank should not exceed the number of locations available.                   ; Critical ; 0 such failures found.                                                   ; I/O                 ;                   ;
; Inapplicable ; IO_000002 ; Capacity Checks                   ; Number of clocks in an I/O bank should not exceed the number of clocks available.                    ; Critical ; No Global Signal assignments found.                                      ; I/O                 ;                   ;
; Pass         ; IO_000003 ; Capacity Checks                   ; Number of pins in a Vrefgroup should not exceed the number of locations available.                   ; Critical ; 0 such failures found.                                                   ; I/O                 ;                   ;
; Inapplicable ; IO_000004 ; Voltage Compatibility Checks      ; The I/O bank should support the requested VCCIO.                                                     ; Critical ; No IOBANK_VCCIO assignments found.                                       ; I/O                 ;                   ;
; Inapplicable ; IO_000005 ; Voltage Compatibility Checks      ; The I/O bank should not have competing VREF values.                                                  ; Critical ; No VREF I/O Standard assignments found.                                  ; I/O                 ;                   ;
; Pass         ; IO_000006 ; Voltage Compatibility Checks      ; The I/O bank should not have competing VCCIO values.                                                 ; Critical ; 0 such failures found.                                                   ; I/O                 ;                   ;
; Pass         ; IO_000007 ; Valid Location Checks             ; Checks for unavailable locations.                                                                    ; Critical ; 0 such failures found.                                                   ; I/O                 ;                   ;
; Inapplicable ; IO_000008 ; Valid Location Checks             ; Checks for reserved locations.                                                                       ; Critical ; No reserved LogicLock region found.                                      ; I/O                 ;                   ;
; Pass         ; IO_000009 ; I/O Properties Checks for One I/O ; The location should support the requested I/O standard.                                              ; Critical ; 0 such failures found.                                                   ; I/O                 ;                   ;
; Pass         ; IO_000010 ; I/O Properties Checks for One I/O ; The location should support the requested I/O direction.                                             ; Critical ; 0 such failures found.                                                   ; I/O                 ;                   ;
; Inapplicable ; IO_000011 ; I/O Properties Checks for One I/O ; The location should support the requested Current Strength.                                          ; Critical ; No Current Strength assignments found.                                   ; I/O                 ;                   ;
; Pass         ; IO_000012 ; I/O Properties Checks for One I/O ; The location should support the requested On Chip Termination value.                                 ; Critical ; 0 such failures found.                                                   ; I/O                 ;                   ;
; Inapplicable ; IO_000013 ; I/O Properties Checks for One I/O ; The location should support the requested Bus Hold value.                                            ; Critical ; No Enable Bus-Hold Circuitry assignments found.                          ; I/O                 ;                   ;
; Inapplicable ; IO_000014 ; I/O Properties Checks for One I/O ; The location should support the requested Weak Pull Up value.                                        ; Critical ; No Weak Pull-Up Resistor assignments found.                              ; I/O                 ;                   ;
; Pass         ; IO_000015 ; I/O Properties Checks for One I/O ; The location should support the requested PCI Clamp Diode.                                           ; Critical ; 0 such failures found.                                                   ; I/O                 ;                   ;
; Inapplicable ; IO_000018 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested Current Strength.                                      ; Critical ; No Current Strength assignments found.                                   ; I/O                 ;                   ;
; Pass         ; IO_000019 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested On Chip Termination value.                             ; Critical ; 0 such failures found.                                                   ; I/O                 ;                   ;
; Pass         ; IO_000020 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested PCI Clamp Diode.                                       ; Critical ; 0 such failures found.                                                   ; I/O                 ;                   ;
; Inapplicable ; IO_000021 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested Weak Pull Up value.                                    ; Critical ; No Weak Pull-Up Resistor assignments found.                              ; I/O                 ;                   ;
; Inapplicable ; IO_000022 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested Bus Hold value.                                        ; Critical ; No Enable Bus-Hold Circuitry assignments found.                          ; I/O                 ;                   ;
; Pass         ; IO_000023 ; I/O Properties Checks for One I/O ; The I/O standard should support the Open Drain value.                                                ; Critical ; 0 such failures found.                                                   ; I/O                 ;                   ;
; Pass         ; IO_000024 ; I/O Properties Checks for One I/O ; The I/O direction should support the On Chip Termination value.                                      ; Critical ; 0 such failures found.                                                   ; I/O                 ;                   ;
; Inapplicable ; IO_000026 ; I/O Properties Checks for One I/O ; On Chip Termination and Current Strength should not be used at the same time.                        ; Critical ; No Current Strength assignments found.                                   ; I/O                 ;                   ;
; Inapplicable ; IO_000027 ; I/O Properties Checks for One I/O ; Weak Pull Up and Bus Hold should not be used at the same time.                                       ; Critical ; No Enable Bus-Hold Circuitry or Weak Pull-Up Resistor assignments found. ; I/O                 ;                   ;
; Inapplicable ; IO_000045 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested Slew Rate value.                                       ; Critical ; No Slew Rate assignments found.                                          ; I/O                 ;                   ;
; Inapplicable ; IO_000046 ; I/O Properties Checks for One I/O ; The location should support the requested Slew Rate value.                                           ; Critical ; No Slew Rate assignments found.                                          ; I/O                 ;                   ;
; Inapplicable ; IO_000047 ; I/O Properties Checks for One I/O ; On Chip Termination and Slew Rate should not be used at the same time.                               ; Critical ; No Slew Rate assignments found.                                          ; I/O                 ;                   ;
; Pass         ; IO_000033 ; Electromigration Checks           ; Current density for consecutive I/Os should not exceed 240mA for row I/Os and 240mA for column I/Os. ; Critical ; 0 such failures found.                                                   ; I/O                 ;                   ;
; Inapplicable ; IO_000034 ; SI Related Distance Checks        ; Single-ended outputs should be 5 LAB row(s) away from a differential I/O.                            ; High     ; No Differential I/O Standard assignments found.                          ; I/O                 ;                   ;
; Inapplicable ; IO_000042 ; SI Related SSO Limit Checks       ; No more than 20 outputs are allowed in a VREF group when VREF is being read from.                    ; High     ; No VREF I/O Standard assignments found.                                  ; I/O                 ;                   ;
; ----         ; ----      ; Disclaimer                        ; OCT rules are checked but not reported.                                                              ; None     ; ----                                                                     ; On Chip Termination ;                   ;
+--------------+-----------+-----------------------------------+------------------------------------------------------------------------------------------------------+----------+--------------------------------------------------------------------------+---------------------+-------------------+


+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; I/O Rules Matrix                                                                                                                                                                                                                                                                                                                                                                                                                                                ;
+--------------------+-----------+--------------+-----------+--------------+--------------+-----------+-----------+--------------+-----------+-----------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+-----------+--------------+--------------+
; Pin/Rules          ; IO_000001 ; IO_000002    ; IO_000003 ; IO_000004    ; IO_000005    ; IO_000006 ; IO_000007 ; IO_000008    ; IO_000009 ; IO_000010 ; IO_000011    ; IO_000012    ; IO_000013    ; IO_000014    ; IO_000015    ; IO_000018    ; IO_000019    ; IO_000020    ; IO_000021    ; IO_000022    ; IO_000023    ; IO_000024    ; IO_000026    ; IO_000027    ; IO_000045    ; IO_000046    ; IO_000047    ; IO_000033 ; IO_000034    ; IO_000042    ;
+--------------------+-----------+--------------+-----------+--------------+--------------+-----------+-----------+--------------+-----------+-----------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+-----------+--------------+--------------+
; Total Pass         ; 303       ; 0            ; 303       ; 0            ; 0            ; 303       ; 303       ; 0            ; 303       ; 303       ; 0            ; 30           ; 0            ; 0            ; 102          ; 0            ; 30           ; 102          ; 0            ; 0            ; 58           ; 30           ; 0            ; 0            ; 0            ; 0            ; 0            ; 303       ; 0            ; 0            ;
; Total Unchecked    ; 0         ; 0            ; 0         ; 0            ; 0            ; 0         ; 0         ; 0            ; 0         ; 0         ; 0            ; 0            ; 0            ; 0            ; 0            ; 0            ; 0            ; 0            ; 0            ; 0            ; 0            ; 0            ; 0            ; 0            ; 0            ; 0            ; 0            ; 0         ; 0            ; 0            ;
; Total Inapplicable ; 0         ; 303          ; 0         ; 303          ; 303          ; 0         ; 0         ; 303          ; 0         ; 0         ; 303          ; 273          ; 303          ; 303          ; 201          ; 303          ; 273          ; 201          ; 303          ; 303          ; 245          ; 273          ; 303          ; 303          ; 303          ; 303          ; 303          ; 0         ; 303          ; 303          ;
; Total Fail         ; 0         ; 0            ; 0         ; 0            ; 0            ; 0         ; 0         ; 0            ; 0         ; 0         ; 0            ; 0            ; 0            ; 0            ; 0            ; 0            ; 0            ; 0            ; 0            ; 0            ; 0            ; 0            ; 0            ; 0            ; 0            ; 0            ; 0            ; 0         ; 0            ; 0            ;
; HEX0[0]            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; HEX0[1]            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; HEX0[2]            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; HEX0[3]            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; HEX0[4]            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; HEX0[5]            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; HEX0[6]            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; HEX1[0]            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; HEX1[1]            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; HEX1[2]            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; HEX1[3]            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; HEX1[4]            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; HEX1[5]            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; HEX1[6]            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; HEX2[0]            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; HEX2[1]            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; HEX2[2]            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; HEX2[3]            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; HEX2[4]            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; HEX2[5]            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; HEX2[6]            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; HEX3[0]            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; HEX3[1]            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; HEX3[2]            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; HEX3[3]            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; HEX3[4]            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; HEX3[5]            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; HEX3[6]            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; HEX4[0]            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; HEX4[1]            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; HEX4[2]            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; HEX4[3]            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; HEX4[4]            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; HEX4[5]            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; HEX4[6]            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; HEX5[0]            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; HEX5[1]            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; HEX5[2]            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; HEX5[3]            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; HEX5[4]            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; HEX5[5]            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; HEX5[6]            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; HEX6[0]            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; HEX6[1]            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; HEX6[2]            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; HEX6[3]            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; HEX6[4]            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; HEX6[5]            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; HEX6[6]            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; HEX7[0]            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; HEX7[1]            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; HEX7[2]            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; HEX7[3]            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; HEX7[4]            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; HEX7[5]            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; HEX7[6]            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; LEDG[0]            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; LEDG[1]            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; LEDG[2]            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; LEDG[3]            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; LEDG[4]            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; LEDG[5]            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; LEDG[6]            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; LEDG[7]            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; LEDG[8]            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; LEDR[0]            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; LEDR[1]            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; LEDR[2]            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; LEDR[3]            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; LEDR[4]            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; LEDR[5]            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; LEDR[6]            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; LEDR[7]            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; LEDR[8]            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; LEDR[9]            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; LEDR[10]           ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; LEDR[11]           ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; LEDR[12]           ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; LEDR[13]           ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; LEDR[14]           ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; LEDR[15]           ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; LEDR[16]           ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; LEDR[17]           ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; UART_TXD           ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; UART_RXD           ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; UART_RTS           ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; UART_CTS           ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; DRAM_BA_0          ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; DRAM_BA_1          ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; DRAM_DQM_0         ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; DRAM_DQM_1         ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; DRAM_DQM_2         ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; DRAM_DQM_3         ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; DRAM_WE_N          ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; DRAM_CAS_N         ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; DRAM_RAS_N         ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; DRAM_CS_N          ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; DRAM_ADDR[0]       ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; DRAM_ADDR[1]       ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; DRAM_ADDR[2]       ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; DRAM_ADDR[3]       ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; DRAM_ADDR[4]       ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; DRAM_ADDR[5]       ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; DRAM_ADDR[6]       ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; DRAM_ADDR[7]       ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; DRAM_ADDR[8]       ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; DRAM_ADDR[9]       ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; DRAM_ADDR[10]      ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; DRAM_ADDR[11]      ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; DRAM_ADDR[12]      ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; DRAM_CLK           ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; DRAM_CKE           ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; FL_ADDR[0]         ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; FL_ADDR[1]         ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; FL_ADDR[2]         ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; FL_ADDR[3]         ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; FL_ADDR[4]         ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; FL_ADDR[5]         ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; FL_ADDR[6]         ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; FL_ADDR[7]         ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; FL_ADDR[8]         ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; FL_ADDR[9]         ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; FL_ADDR[10]        ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; FL_ADDR[11]        ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; FL_ADDR[12]        ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; FL_ADDR[13]        ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; FL_ADDR[14]        ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; FL_ADDR[15]        ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; FL_ADDR[16]        ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; FL_ADDR[17]        ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; FL_ADDR[18]        ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; FL_ADDR[19]        ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; FL_ADDR[20]        ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; FL_ADDR[21]        ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; FL_ADDR[22]        ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; FL_RY              ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; FL_WP_N            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; FL_WE_N            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; FL_RST_N           ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; FL_OE_N            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; FL_CE_N            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; SRAM_ADDR[0]       ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; SRAM_ADDR[1]       ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; SRAM_ADDR[2]       ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; SRAM_ADDR[3]       ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; SRAM_ADDR[4]       ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; SRAM_ADDR[5]       ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; SRAM_ADDR[6]       ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; SRAM_ADDR[7]       ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; SRAM_ADDR[8]       ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; SRAM_ADDR[9]       ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; SRAM_ADDR[10]      ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; SRAM_ADDR[11]      ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; SRAM_ADDR[12]      ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; SRAM_ADDR[13]      ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; SRAM_ADDR[14]      ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; SRAM_ADDR[15]      ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; SRAM_ADDR[16]      ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; SRAM_ADDR[17]      ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; SRAM_ADDR[18]      ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; SRAM_ADDR[19]      ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; SRAM_UB_N          ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; SRAM_LB_N          ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; SRAM_WE_N          ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; SRAM_CE_N          ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; SRAM_OE_N          ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; SD_DAT0            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; SD_DAT3            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; SD_CMD             ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; SD_CLK             ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; VGA_SYNC_N         ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; VGA_CLK            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; VGA_BLANK_N        ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; VGA_HS             ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; VGA_VS             ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; VGA_R[0]           ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; VGA_R[1]           ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; VGA_R[2]           ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; VGA_R[3]           ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; VGA_R[4]           ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; VGA_R[5]           ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; VGA_R[6]           ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; VGA_R[7]           ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; VGA_G[0]           ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; VGA_G[1]           ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; VGA_G[2]           ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; VGA_G[3]           ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; VGA_G[4]           ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; VGA_G[5]           ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; VGA_G[6]           ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; VGA_G[7]           ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; VGA_B[0]           ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; VGA_B[1]           ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; VGA_B[2]           ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; VGA_B[3]           ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; VGA_B[4]           ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; VGA_B[5]           ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; VGA_B[6]           ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; VGA_B[7]           ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; AUD_ADCDAT         ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; AUD_DACDAT         ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; AUD_XCK            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; LCD_RS             ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; LCD_EN             ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; LCD_RW             ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; LCD_ON             ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; LCD_BLON           ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; SD_DAT1            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; SD_DAT2            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; PS2_DAT2           ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; PS2_CLK2           ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; DRAM_DQ[0]         ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; DRAM_DQ[1]         ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; DRAM_DQ[2]         ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; DRAM_DQ[3]         ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; DRAM_DQ[4]         ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; DRAM_DQ[5]         ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; DRAM_DQ[6]         ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; DRAM_DQ[7]         ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; DRAM_DQ[8]         ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; DRAM_DQ[9]         ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; DRAM_DQ[10]        ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; DRAM_DQ[11]        ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; DRAM_DQ[12]        ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; DRAM_DQ[13]        ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; DRAM_DQ[14]        ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; DRAM_DQ[15]        ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; DRAM_DQ[16]        ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; DRAM_DQ[17]        ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; DRAM_DQ[18]        ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; DRAM_DQ[19]        ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; DRAM_DQ[20]        ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; DRAM_DQ[21]        ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; DRAM_DQ[22]        ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; DRAM_DQ[23]        ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; DRAM_DQ[24]        ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; DRAM_DQ[25]        ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; DRAM_DQ[26]        ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; DRAM_DQ[27]        ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; DRAM_DQ[28]        ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; DRAM_DQ[29]        ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; DRAM_DQ[30]        ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; DRAM_DQ[31]        ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; FL_DQ[0]           ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; FL_DQ[1]           ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; FL_DQ[2]           ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; FL_DQ[3]           ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; FL_DQ[4]           ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; FL_DQ[5]           ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; FL_DQ[6]           ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; FL_DQ[7]           ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; SRAM_DQ[0]         ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; SRAM_DQ[1]         ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; SRAM_DQ[2]         ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; SRAM_DQ[3]         ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; SRAM_DQ[4]         ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; SRAM_DQ[5]         ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; SRAM_DQ[6]         ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; SRAM_DQ[7]         ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; SRAM_DQ[8]         ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; SRAM_DQ[9]         ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; SRAM_DQ[10]        ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; SRAM_DQ[11]        ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; SRAM_DQ[12]        ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; SRAM_DQ[13]        ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; SRAM_DQ[14]        ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; SRAM_DQ[15]        ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; PS2_DAT            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; PS2_CLK            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; AUD_ADCLRCK        ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; AUD_DACLRCK        ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; AUD_BCLK           ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; LCD_DATA[0]        ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; LCD_DATA[1]        ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; LCD_DATA[2]        ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; LCD_DATA[3]        ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; LCD_DATA[4]        ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; LCD_DATA[5]        ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; LCD_DATA[6]        ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; LCD_DATA[7]        ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; SW[17]             ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; CLOCK_50           ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; SW[16]             ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; SW[1]              ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; SW[9]              ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; KEY[1]             ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; SW[8]              ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; SW[0]              ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; KEY[0]             ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; SW[15]             ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; SW[7]              ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; SW[14]             ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; SW[6]              ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; SW[10]             ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; SW[2]              ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; KEY[2]             ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; SW[13]             ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; SW[5]              ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; SW[12]             ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; SW[4]              ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; SW[3]              ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; SW[11]             ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; KEY[3]             ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
+--------------------+-----------+--------------+-----------+--------------+--------------+-----------+-----------+--------------+-----------+-----------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+-----------+--------------+--------------+


+---------------------------------------------------------------------------------------------+
; Fitter Device Options                                                                       ;
+------------------------------------------------------------------+--------------------------+
; Option                                                           ; Setting                  ;
+------------------------------------------------------------------+--------------------------+
; Enable user-supplied start-up clock (CLKUSR)                     ; Off                      ;
; Enable device-wide reset (DEV_CLRn)                              ; Off                      ;
; Enable device-wide output enable (DEV_OE)                        ; Off                      ;
; Enable INIT_DONE output                                          ; Off                      ;
; Configuration scheme                                             ; Active Serial            ;
; Error detection CRC                                              ; Off                      ;
; Enable open drain on CRC_ERROR pin                               ; Off                      ;
; Enable input tri-state on active configuration pins in user mode ; Off                      ;
; Configuration Voltage Level                                      ; Auto                     ;
; Force Configuration Voltage Level                                ; Off                      ;
; nCEO                                                             ; As output driving ground ;
; Data[0]                                                          ; As input tri-stated      ;
; Data[1]/ASDO                                                     ; As input tri-stated      ;
; Data[7..2]                                                       ; Unreserved               ;
; FLASH_nCE/nCSO                                                   ; As input tri-stated      ;
; Other Active Parallel pins                                       ; Unreserved               ;
; DCLK                                                             ; As output driving ground ;
; Base pin-out file on sameframe device                            ; Off                      ;
+------------------------------------------------------------------+--------------------------+


+------------------------------------+
; Operating Settings and Conditions  ;
+---------------------------+--------+
; Setting                   ; Value  ;
+---------------------------+--------+
; Nominal Core Voltage      ; 1.20 V ;
+---------------------------+--------+


+-------------------------------------------------------------+
; Estimated Delay Added for Hold Timing Summary               ;
+-----------------+-----------------------+-------------------+
; Source Clock(s) ; Destination Clock(s)  ; Delay Added in ns ;
+-----------------+-----------------------+-------------------+
; SW[16]          ; SW[16]                ; 678.7             ;
; SW[16]          ; T80se:z80_inst|MREQ_n ; 452.8             ;
; CLOCK_50        ; SW[16]                ; 93.5              ;
; CLOCK_50        ; CLOCK_50              ; 26.4              ;
+-----------------+-----------------------+-------------------+
Note: For more information on problematic transfers, consider running the Fitter again with the Optimize hold timing option (Settings Menu) turned off.
This will disable optimization of problematic paths and expose them for further analysis using either the TimeQuest Timing Analyzer or the Classic Timing Analyzer.


+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Estimated Delay Added for Hold Timing Details                                                                                                                                      ;
+--------------------------------------------------------------------------------------------------+-------------------------------------------------------------+-------------------+
; Source Register                                                                                  ; Destination Register                                        ; Delay Added in ns ;
+--------------------------------------------------------------------------------------------------+-------------------------------------------------------------+-------------------+
; T80se:z80_inst|MREQ_n                                                                            ; T80se:z80_inst|DI_Reg[0]                                    ; 5.421             ;
; T80se:z80_inst|WR_n                                                                              ; \pinout_process:LEDR_sig[15]                                ; 4.592             ;
; T80se:z80_inst|IORQ_n                                                                            ; \pinout_process:LEDR_sig[15]                                ; 4.592             ;
; T80se:z80_inst|T80:u0|A[13]                                                                      ; T80se:z80_inst|T80:u0|IR[2]                                 ; 4.164             ;
; T80se:z80_inst|T80:u0|A[5]                                                                       ; T80se:z80_inst|T80:u0|IR[2]                                 ; 4.164             ;
; T80se:z80_inst|T80:u0|A[6]                                                                       ; T80se:z80_inst|T80:u0|IR[2]                                 ; 4.164             ;
; T80se:z80_inst|T80:u0|A[7]                                                                       ; T80se:z80_inst|T80:u0|IR[2]                                 ; 4.164             ;
; T80se:z80_inst|T80:u0|A[8]                                                                       ; T80se:z80_inst|T80:u0|IR[2]                                 ; 4.164             ;
; T80se:z80_inst|T80:u0|A[9]                                                                       ; T80se:z80_inst|T80:u0|IR[2]                                 ; 4.164             ;
; T80se:z80_inst|RD_n                                                                              ; T80se:z80_inst|T80:u0|IR[2]                                 ; 4.164             ;
; T80se:z80_inst|T80:u0|A[10]                                                                      ; T80se:z80_inst|T80:u0|IR[2]                                 ; 4.164             ;
; T80se:z80_inst|T80:u0|A[11]                                                                      ; T80se:z80_inst|T80:u0|IR[2]                                 ; 4.164             ;
; T80se:z80_inst|T80:u0|A[12]                                                                      ; T80se:z80_inst|T80:u0|IR[2]                                 ; 4.164             ;
; T80se:z80_inst|T80:u0|A[14]                                                                      ; T80se:z80_inst|T80:u0|IR[2]                                 ; 4.164             ;
; T80se:z80_inst|T80:u0|A[15]                                                                      ; T80se:z80_inst|T80:u0|IR[2]                                 ; 4.164             ;
; T80se:z80_inst|T80:u0|A[1]                                                                       ; T80se:z80_inst|DI_Reg[0]                                    ; 4.035             ;
; T80se:z80_inst|T80:u0|A[0]                                                                       ; T80se:z80_inst|DI_Reg[0]                                    ; 4.035             ;
; T80se:z80_inst|T80:u0|A[2]                                                                       ; T80se:z80_inst|DI_Reg[0]                                    ; 4.035             ;
; \random:rand_temp[0]                                                                             ; T80se:z80_inst|DI_Reg[0]                                    ; 3.989             ;
; \random:rand_temp[2]                                                                             ; T80se:z80_inst|DI_Reg[2]                                    ; 3.989             ;
; \random:rand_temp[3]                                                                             ; T80se:z80_inst|DI_Reg[3]                                    ; 3.989             ;
; \random:rand_temp[5]                                                                             ; T80se:z80_inst|DI_Reg[5]                                    ; 3.989             ;
; \random:rand_temp[7]                                                                             ; T80se:z80_inst|DI_Reg[7]                                    ; 3.966             ;
; T80se:z80_inst|T80:u0|A[3]                                                                       ; T80se:z80_inst|DI_Reg[7]                                    ; 3.847             ;
; T80se:z80_inst|T80:u0|A[4]                                                                       ; T80se:z80_inst|DI_Reg[7]                                    ; 3.847             ;
; ps2_ascii_reg1[6]                                                                                ; T80se:z80_inst|DI_Reg[6]                                    ; 3.835             ;
; ps2_ascii_reg1[5]                                                                                ; T80se:z80_inst|T80:u0|IR[5]                                 ; 3.835             ;
; ps2_ascii_reg1[2]                                                                                ; T80se:z80_inst|DI_Reg[2]                                    ; 3.812             ;
; \random:rand_temp[1]                                                                             ; T80se:z80_inst|DI_Reg[1]                                    ; 3.706             ;
; \random:rand_temp[4]                                                                             ; T80se:z80_inst|DI_Reg[4]                                    ; 3.624             ;
; \random:rand_temp[6]                                                                             ; T80se:z80_inst|DI_Reg[6]                                    ; 3.614             ;
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set                                                  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set             ; 3.603             ;
; ps2_ascii_reg1[7]                                                                                ; T80se:z80_inst|DI_Reg[7]                                    ; 3.589             ;
; ps2_ascii_reg1[4]                                                                                ; T80se:z80_inst|DI_Reg[4]                                    ; 3.589             ;
; \random:rand_temp[9]                                                                             ; T80se:z80_inst|DI_Reg[1]                                    ; 3.466             ;
; \random:rand_temp[11]                                                                            ; T80se:z80_inst|T80:u0|IR[3]                                 ; 3.327             ;
; \random:rand_temp[15]                                                                            ; T80se:z80_inst|DI_Reg[7]                                    ; 3.284             ;
; ps2_ascii_reg1[0]                                                                                ; T80se:z80_inst|DI_Reg[0]                                    ; 3.257             ;
; ps2_ascii_reg1[1]                                                                                ; T80se:z80_inst|DI_Reg[1]                                    ; 3.247             ;
; \random:rand_temp[8]                                                                             ; T80se:z80_inst|DI_Reg[0]                                    ; 3.179             ;
; \random:rand_temp[12]                                                                            ; T80se:z80_inst|DI_Reg[4]                                    ; 3.096             ;
; ps2_ascii_reg1[3]                                                                                ; T80se:z80_inst|T80:u0|IR[3]                                 ; 3.032             ;
; clk_div:clkdiv_inst|clock_25Mhz_int                                                              ; T80se:z80_inst|T80:u0|IR[5]                                 ; 2.854             ;
; \random:rand_temp[10]                                                                            ; T80se:z80_inst|DI_Reg[2]                                    ; 2.734             ;
; \random:rand_temp[13]                                                                            ; T80se:z80_inst|T80:u0|IR[5]                                 ; 2.699             ;
; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|out_address_reg_a[0] ; T80se:z80_inst|DI_Reg[1]                                    ; 2.669             ;
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered                                      ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 2.516             ;
; LCD:lcd_inst|clk_400hz_enable                                                                    ; LCD:lcd_inst|state.drop_LCD_EN                              ; 2.500             ;
; T80se:z80_inst|T80:u0|DO[5]                                                                      ; lcdvram[16][5]                                              ; 2.092             ;
; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a9         ; T80se:z80_inst|DI_Reg[1]                                    ; 2.088             ;
; T80se:z80_inst|T80:u0|DO[2]                                                                      ; lcdvram[24][2]                                              ; 1.911             ;
; clk_div:clkdiv_inst|clock_10Khz_int                                                              ; clk_div:clkdiv_inst|clock_10Khz_int                         ; 1.897             ;
; T80se:z80_inst|T80:u0|DO[7]                                                                      ; lcdvram[16][7]                                              ; 1.855             ;
; T80se:z80_inst|T80:u0|DO[3]                                                                      ; lcdvram[16][3]                                              ; 1.818             ;
; T80se:z80_inst|T80:u0|DO[6]                                                                      ; lcdvram[16][6]                                              ; 1.794             ;
; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a12        ; T80se:z80_inst|DI_Reg[4]                                    ; 1.772             ;
; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a11        ; T80se:z80_inst|T80:u0|IR[3]                                 ; 1.766             ;
; T80se:z80_inst|T80:u0|DO[0]                                                                      ; lcdvram[16][0]                                              ; 1.753             ;
; T80se:z80_inst|T80:u0|DO[4]                                                                      ; lcdvram[16][4]                                              ; 1.646             ;
; T80se:z80_inst|T80:u0|DO[1]                                                                      ; lcdvram[16][1]                                              ; 1.636             ;
; SW[9]                                                                                            ; T80se:z80_inst|DI_Reg[1]                                    ; 1.605             ;
; KEY[1]                                                                                           ; T80se:z80_inst|DI_Reg[1]                                    ; 1.605             ;
; clk_div:clkdiv_inst|clock_100hz_int                                                              ; ps2_ascii_reg1[4]                                           ; 1.595             ;
; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a0         ; T80se:z80_inst|DI_Reg[0]                                    ; 1.561             ;
; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a15        ; T80se:z80_inst|DI_Reg[7]                                    ; 1.518             ;
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|filter[5]                                                  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.500             ;
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|filter[3]                                                  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.500             ;
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|filter[2]                                                  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.500             ;
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|filter[0]                                                  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.486             ;
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|filter[1]                                                  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.486             ;
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|filter[6]                                                  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.486             ;
; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a13        ; T80se:z80_inst|T80:u0|IR[5]                                 ; 1.402             ;
; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a10        ; T80se:z80_inst|DI_Reg[2]                                    ; 1.402             ;
; SW[1]                                                                                            ; T80se:z80_inst|DI_Reg[1]                                    ; 1.376             ;
; SRAM_DQ[1]                                                                                       ; T80se:z80_inst|DI_Reg[1]                                    ; 1.376             ;
; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a8         ; T80se:z80_inst|DI_Reg[0]                                    ; 1.369             ;
; SW[0]                                                                                            ; T80se:z80_inst|DI_Reg[0]                                    ; 1.332             ;
; KEY[0]                                                                                           ; T80se:z80_inst|DI_Reg[0]                                    ; 1.332             ;
; SW[11]                                                                                           ; T80se:z80_inst|T80:u0|IR[3]                                 ; 1.314             ;
; KEY[3]                                                                                           ; T80se:z80_inst|T80:u0|IR[3]                                 ; 1.314             ;
; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a3         ; T80se:z80_inst|T80:u0|IR[3]                                 ; 1.311             ;
; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a14        ; T80se:z80_inst|DI_Reg[6]                                    ; 1.276             ;
; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a1         ; T80se:z80_inst|DI_Reg[1]                                    ; 1.276             ;
; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a2         ; T80se:z80_inst|DI_Reg[2]                                    ; 1.207             ;
; SW[3]                                                                                            ; T80se:z80_inst|T80:u0|IR[3]                                 ; 1.136             ;
; SRAM_DQ[3]                                                                                       ; T80se:z80_inst|T80:u0|IR[3]                                 ; 1.136             ;
; SW[2]                                                                                            ; T80se:z80_inst|DI_Reg[2]                                    ; 1.133             ;
; KEY[2]                                                                                           ; T80se:z80_inst|DI_Reg[2]                                    ; 1.133             ;
; SRAM_DQ[6]                                                                                       ; T80se:z80_inst|DI_Reg[6]                                    ; 1.119             ;
; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a6         ; T80se:z80_inst|DI_Reg[6]                                    ; 1.119             ;
; SW[8]                                                                                            ; T80se:z80_inst|DI_Reg[0]                                    ; 1.113             ;
; SRAM_DQ[0]                                                                                       ; T80se:z80_inst|DI_Reg[0]                                    ; 1.113             ;
; SRAM_DQ[7]                                                                                       ; T80se:z80_inst|DI_Reg[7]                                    ; 1.081             ;
; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a7         ; T80se:z80_inst|DI_Reg[7]                                    ; 1.081             ;
; ps2_read                                                                                         ; ps2_read                                                    ; 1.073             ;
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_ready                                                 ; ps2_read                                                    ; 1.073             ;
; next_char_sig[2]                                                                                 ; LCD:lcd_inst|data_bus_value[2]                              ; 1.040             ;
; SW[17]                                                                                           ; LCD:lcd_inst|char_count_sig[0]                              ; 1.034             ;
; LCD:lcd_inst|LCD_EN                                                                              ; LCD:lcd_inst|LCD_EN                                         ; 1.024             ;
; LCD:lcd_inst|state.drop_LCD_EN                                                                   ; LCD:lcd_inst|LCD_EN                                         ; 1.024             ;
+--------------------------------------------------------------------------------------------------+-------------------------------------------------------------+-------------------+
Note: This table only shows the top 100 path(s) that have the largest delay added for hold.


+-----------------+
; Fitter Messages ;
+-----------------+
Warning (20028): Parallel compilation is not licensed and has been disabled
Info (119006): Selected device EP4CE115F29C7 for design "073DE2115e"
Info (21077): Low junction temperature is 0 degrees C
Info (21077): High junction temperature is 85 degrees C
Info (171001): Fitter is performing a Fast Fit compilation, which decreases Fitter effort to reduce compilation time
Warning (292013): Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature.
Info (176444): Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices
    Info (176445): Device EP4CE40F29C7 is compatible
    Info (176445): Device EP4CE40F29I7 is compatible
    Info (176445): Device EP4CE30F29C7 is compatible
    Info (176445): Device EP4CE30F29I7 is compatible
    Info (176445): Device EP4CE55F29C7 is compatible
    Info (176445): Device EP4CE55F29I7 is compatible
    Info (176445): Device EP4CE75F29C7 is compatible
    Info (176445): Device EP4CE75F29I7 is compatible
    Info (176445): Device EP4CE115F29I7 is compatible
Info (169124): Fitter converted 5 user pins into dedicated programming pins
    Info (169125): Pin ~ALTERA_ASDO_DATA1~ is reserved at location F4
    Info (169125): Pin ~ALTERA_FLASH_nCE_nCSO~ is reserved at location E2
    Info (169125): Pin ~ALTERA_DCLK~ is reserved at location P3
    Info (169125): Pin ~ALTERA_DATA0~ is reserved at location N7
    Info (169125): Pin ~ALTERA_nCEO~ is reserved at location P28
Warning (15714): Some pins have incomplete I/O assignments. Refer to the I/O Assignment Warnings report for details
Info (176045): Design uses memory blocks. Violating setup or hold times of memory block address registers for either read or write operations could cause memory contents to be corrupted. Make sure that all memory block address registers meet the setup and hold time requirements.
Warning (335093): TimeQuest Timing Analyzer is analyzing 256 combinational loops as latches.
Critical Warning (332012): Synopsys Design Constraints File file not found: '073DE2115e.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design.
Info (332144): No user constrained base clocks found in the design
Info (332097): The following timing edges are non-unate.  TimeQuest will assume pos-unate behavior for these edges in the clock network.
    Info (332098): Cell: Clk_Z80  from: datac  to: combout
Info (332143): No user constrained clock uncertainty found in the design. Calling "derive_clock_uncertainty"
Info (332123): Deriving Clock Uncertainty. Please refer to report_sdc in TimeQuest to see clock uncertainties.
Info (332128): Timing requirements not specified -- optimizing circuit to achieve the following default global requirements
    Info (332127): Assuming a default timing requirement
Info (332111): Found 13 clocks
    Info (332111):   Period   Clock Name
    Info (332111): ======== ============
    Info (332111):    1.000 clk_div:clkdiv_inst|clock_1Khz_int
    Info (332111):    1.000 clk_div:clkdiv_inst|clock_1Mhz_int
    Info (332111):    1.000 clk_div:clkdiv_inst|clock_10Khz_int
    Info (332111):    1.000 clk_div:clkdiv_inst|clock_25MHz
    Info (332111):    1.000 clk_div:clkdiv_inst|clock_25Mhz_int
    Info (332111):    1.000 clk_div:clkdiv_inst|clock_100Hz
    Info (332111):    1.000 clk_div:clkdiv_inst|clock_100Khz_int
    Info (332111):    1.000     CLOCK_50
    Info (332111):    1.000 LCD:lcd_inst|clk_400hz_enable
    Info (332111):    1.000 ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered
    Info (332111):    1.000 ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set
    Info (332111):    1.000       SW[16]
    Info (332111):    1.000 T80se:z80_inst|MREQ_n
Info (176353): Automatically promoted node CLOCK_50~input (placed in PIN Y2 (CLK2, DIFFCLK_1p))
    Info (176355): Automatically promoted destinations to use location or clock signal Global Clock CLKCTRL_G4
    Info (176356): Following destination nodes may be non-global or may not use global or regional clocks
        Info (176357): Destination node clk_div:clkdiv_inst|clock_10MHz
        Info (176357): Destination node clk_div:clkdiv_inst|clock_357Mhz
Info (176353): Automatically promoted node Clk_Z80 
    Info (176355): Automatically promoted destinations to use location or clock signal Global Clock
    Info (176356): Following destination nodes may be non-global or may not use global or regional clocks
        Info (176357): Destination node T80se:z80_inst|WR_n
        Info (176357): Destination node T80se:z80_inst|T80:u0|A[0]
        Info (176357): Destination node T80se:z80_inst|T80:u0|A[1]
        Info (176357): Destination node T80se:z80_inst|T80:u0|A[2]
        Info (176357): Destination node T80se:z80_inst|T80:u0|A[3]
        Info (176357): Destination node T80se:z80_inst|T80:u0|A[4]
        Info (176357): Destination node T80se:z80_inst|T80:u0|A[5]
        Info (176357): Destination node T80se:z80_inst|T80:u0|A[6]
        Info (176357): Destination node T80se:z80_inst|T80:u0|A[7]
        Info (176357): Destination node T80se:z80_inst|T80:u0|A[8]
        Info (176358): Non-global destination nodes limited to 10 nodes
Info (176353): Automatically promoted node clk_div:clkdiv_inst|clock_25MHz 
    Info (176355): Automatically promoted destinations to use location or clock signal Global Clock
    Info (176356): Following destination nodes may be non-global or may not use global or regional clocks
        Info (176357): Destination node VGA_CLK~output
Info (176353): Automatically promoted node ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered 
    Info (176355): Automatically promoted destinations to use location or clock signal Global Clock
    Info (176356): Following destination nodes may be non-global or may not use global or regional clocks
        Info (176357): Destination node ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered~1
        Info (176357): Destination node ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered~2
        Info (176357): Destination node ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered~3
Info (176353): Automatically promoted node Decoder0~17 
    Info (176355): Automatically promoted destinations to use location or clock signal Global Clock
Info (176353): Automatically promoted node Decoder0~19 
    Info (176355): Automatically promoted destinations to use location or clock signal Global Clock
Info (176353): Automatically promoted node Decoder0~20 
    Info (176355): Automatically promoted destinations to use location or clock signal Global Clock
Info (176353): Automatically promoted node Decoder0~21 
    Info (176355): Automatically promoted destinations to use location or clock signal Global Clock
Info (176353): Automatically promoted node Decoder0~23 
    Info (176355): Automatically promoted destinations to use location or clock signal Global Clock
Info (176353): Automatically promoted node Decoder0~25 
    Info (176355): Automatically promoted destinations to use location or clock signal Global Clock
Info (176353): Automatically promoted node Decoder0~26 
    Info (176355): Automatically promoted destinations to use location or clock signal Global Clock
Info (176353): Automatically promoted node Decoder0~27 
    Info (176355): Automatically promoted destinations to use location or clock signal Global Clock
Info (176353): Automatically promoted node Decoder0~29 
    Info (176355): Automatically promoted destinations to use location or clock signal Global Clock
Info (176353): Automatically promoted node Decoder0~31 
    Info (176355): Automatically promoted destinations to use location or clock signal Global Clock
Info (176353): Automatically promoted node Decoder0~32 
    Info (176355): Automatically promoted destinations to use location or clock signal Global Clock
Info (176353): Automatically promoted node Decoder0~33 
    Info (176355): Automatically promoted destinations to use location or clock signal Global Clock
Info (176353): Automatically promoted node Decoder0~35 
    Info (176355): Automatically promoted destinations to use location or clock signal Global Clock
Info (176353): Automatically promoted node Decoder0~37 
    Info (176355): Automatically promoted destinations to use location or clock signal Global Clock
Info (176353): Automatically promoted node Decoder0~38 
    Info (176355): Automatically promoted destinations to use location or clock signal Global Clock
Info (176353): Automatically promoted node Decoder0~39 
    Info (176355): Automatically promoted destinations to use location or clock signal Global Clock
Info (176233): Starting register packing
Info (176235): Finished register packing
    Extra Info (176219): No registers were packed into other blocks
Warning (15709): Ignored I/O standard assignments to the following nodes
    Warning (15710): Ignored I/O standard assignment to node "CLOCK2_50"
    Warning (15710): Ignored I/O standard assignment to node "CLOCK3_50"
    Warning (15710): Ignored I/O standard assignment to node "EEP_I2C_SCLK"
    Warning (15710): Ignored I/O standard assignment to node "EEP_I2C_SDAT"
    Warning (15710): Ignored I/O standard assignment to node "ENET0_GTX_CLK"
    Warning (15710): Ignored I/O standard assignment to node "ENET0_INT_N"
    Warning (15710): Ignored I/O standard assignment to node "ENET0_LINK100"
    Warning (15710): Ignored I/O standard assignment to node "ENET0_MDC"
    Warning (15710): Ignored I/O standard assignment to node "ENET0_MDIO"
    Warning (15710): Ignored I/O standard assignment to node "ENET0_RST_N"
    Warning (15710): Ignored I/O standard assignment to node "ENET0_RX_CLK"
    Warning (15710): Ignored I/O standard assignment to node "ENET0_RX_COL"
    Warning (15710): Ignored I/O standard assignment to node "ENET0_RX_CRS"
    Warning (15710): Ignored I/O standard assignment to node "ENET0_RX_DATA[0]"
    Warning (15710): Ignored I/O standard assignment to node "ENET0_RX_DATA[1]"
    Warning (15710): Ignored I/O standard assignment to node "ENET0_RX_DATA[2]"
    Warning (15710): Ignored I/O standard assignment to node "ENET0_RX_DATA[3]"
    Warning (15710): Ignored I/O standard assignment to node "ENET0_RX_DV"
    Warning (15710): Ignored I/O standard assignment to node "ENET0_RX_ER"
    Warning (15710): Ignored I/O standard assignment to node "ENET0_TX_CLK"
    Warning (15710): Ignored I/O standard assignment to node "ENET0_TX_DATA[0]"
    Warning (15710): Ignored I/O standard assignment to node "ENET0_TX_DATA[1]"
    Warning (15710): Ignored I/O standard assignment to node "ENET0_TX_DATA[2]"
    Warning (15710): Ignored I/O standard assignment to node "ENET0_TX_DATA[3]"
    Warning (15710): Ignored I/O standard assignment to node "ENET0_TX_EN"
    Warning (15710): Ignored I/O standard assignment to node "ENET0_TX_ER"
    Warning (15710): Ignored I/O standard assignment to node "ENET1_GTX_CLK"
    Warning (15710): Ignored I/O standard assignment to node "ENET1_INT_N"
    Warning (15710): Ignored I/O standard assignment to node "ENET1_LINK100"
    Warning (15710): Ignored I/O standard assignment to node "ENET1_MDC"
    Warning (15710): Ignored I/O standard assignment to node "ENET1_MDIO"
    Warning (15710): Ignored I/O standard assignment to node "ENET1_RST_N"
    Warning (15710): Ignored I/O standard assignment to node "ENET1_RX_CLK"
    Warning (15710): Ignored I/O standard assignment to node "ENET1_RX_COL"
    Warning (15710): Ignored I/O standard assignment to node "ENET1_RX_CRS"
    Warning (15710): Ignored I/O standard assignment to node "ENET1_RX_DATA[0]"
    Warning (15710): Ignored I/O standard assignment to node "ENET1_RX_DATA[1]"
    Warning (15710): Ignored I/O standard assignment to node "ENET1_RX_DATA[2]"
    Warning (15710): Ignored I/O standard assignment to node "ENET1_RX_DATA[3]"
    Warning (15710): Ignored I/O standard assignment to node "ENET1_RX_DV"
    Warning (15710): Ignored I/O standard assignment to node "ENET1_RX_ER"
    Warning (15710): Ignored I/O standard assignment to node "ENET1_TX_CLK"
    Warning (15710): Ignored I/O standard assignment to node "ENET1_TX_DATA[0]"
    Warning (15710): Ignored I/O standard assignment to node "ENET1_TX_DATA[1]"
    Warning (15710): Ignored I/O standard assignment to node "ENET1_TX_DATA[2]"
    Warning (15710): Ignored I/O standard assignment to node "ENET1_TX_DATA[3]"
    Warning (15710): Ignored I/O standard assignment to node "ENET1_TX_EN"
    Warning (15710): Ignored I/O standard assignment to node "ENET1_TX_ER"
    Warning (15710): Ignored I/O standard assignment to node "ENETCLK_25"
    Warning (15710): Ignored I/O standard assignment to node "EX_IO[0]"
    Warning (15710): Ignored I/O standard assignment to node "EX_IO[1]"
    Warning (15710): Ignored I/O standard assignment to node "EX_IO[2]"
    Warning (15710): Ignored I/O standard assignment to node "EX_IO[3]"
    Warning (15710): Ignored I/O standard assignment to node "EX_IO[4]"
    Warning (15710): Ignored I/O standard assignment to node "EX_IO[5]"
    Warning (15710): Ignored I/O standard assignment to node "EX_IO[6]"
    Warning (15710): Ignored I/O standard assignment to node "I2C_SCLK"
    Warning (15710): Ignored I/O standard assignment to node "I2C_SDAT"
    Warning (15710): Ignored I/O standard assignment to node "IRDA_RXD"
    Warning (15710): Ignored I/O standard assignment to node "OTG_ADDR[0]"
    Warning (15710): Ignored I/O standard assignment to node "OTG_ADDR[1]"
    Warning (15710): Ignored I/O standard assignment to node "OTG_CS_N"
    Warning (15710): Ignored I/O standard assignment to node "OTG_DATA[0]"
    Warning (15710): Ignored I/O standard assignment to node "OTG_DATA[10]"
    Warning (15710): Ignored I/O standard assignment to node "OTG_DATA[11]"
    Warning (15710): Ignored I/O standard assignment to node "OTG_DATA[12]"
    Warning (15710): Ignored I/O standard assignment to node "OTG_DATA[13]"
    Warning (15710): Ignored I/O standard assignment to node "OTG_DATA[14]"
    Warning (15710): Ignored I/O standard assignment to node "OTG_DATA[15]"
    Warning (15710): Ignored I/O standard assignment to node "OTG_DATA[1]"
    Warning (15710): Ignored I/O standard assignment to node "OTG_DATA[2]"
    Warning (15710): Ignored I/O standard assignment to node "OTG_DATA[3]"
    Warning (15710): Ignored I/O standard assignment to node "OTG_DATA[4]"
    Warning (15710): Ignored I/O standard assignment to node "OTG_DATA[5]"
    Warning (15710): Ignored I/O standard assignment to node "OTG_DATA[6]"
    Warning (15710): Ignored I/O standard assignment to node "OTG_DATA[7]"
    Warning (15710): Ignored I/O standard assignment to node "OTG_DATA[8]"
    Warning (15710): Ignored I/O standard assignment to node "OTG_DATA[9]"
    Warning (15710): Ignored I/O standard assignment to node "OTG_INT"
    Warning (15710): Ignored I/O standard assignment to node "OTG_RD_N"
    Warning (15710): Ignored I/O standard assignment to node "OTG_RST_N"
    Warning (15710): Ignored I/O standard assignment to node "OTG_WE_N"
    Warning (15710): Ignored I/O standard assignment to node "SD_WP_N"
    Warning (15710): Ignored I/O standard assignment to node "SMA_CLKIN"
    Warning (15710): Ignored I/O standard assignment to node "SMA_CLKOUT"
    Warning (15710): Ignored I/O standard assignment to node "TD_CLK27"
    Warning (15710): Ignored I/O standard assignment to node "TD_DATA[0]"
    Warning (15710): Ignored I/O standard assignment to node "TD_DATA[1]"
    Warning (15710): Ignored I/O standard assignment to node "TD_DATA[2]"
    Warning (15710): Ignored I/O standard assignment to node "TD_DATA[3]"
    Warning (15710): Ignored I/O standard assignment to node "TD_DATA[4]"
    Warning (15710): Ignored I/O standard assignment to node "TD_DATA[5]"
    Warning (15710): Ignored I/O standard assignment to node "TD_DATA[6]"
    Warning (15710): Ignored I/O standard assignment to node "TD_DATA[7]"
    Warning (15710): Ignored I/O standard assignment to node "TD_HS"
    Warning (15710): Ignored I/O standard assignment to node "TD_RESET_N"
    Warning (15710): Ignored I/O standard assignment to node "TD_VS"
Warning (15705): Ignored locations or region assignments to the following nodes
    Warning (15706): Node "CLOCK2_50" is assigned to location or region, but does not exist in design
    Warning (15706): Node "CLOCK3_50" is assigned to location or region, but does not exist in design
    Warning (15706): Node "EEP_I2C_SCLK" is assigned to location or region, but does not exist in design
    Warning (15706): Node "EEP_I2C_SDAT" is assigned to location or region, but does not exist in design
    Warning (15706): Node "ENET0_GTX_CLK" is assigned to location or region, but does not exist in design
    Warning (15706): Node "ENET0_INT_N" is assigned to location or region, but does not exist in design
    Warning (15706): Node "ENET0_LINK100" is assigned to location or region, but does not exist in design
    Warning (15706): Node "ENET0_MDC" is assigned to location or region, but does not exist in design
    Warning (15706): Node "ENET0_MDIO" is assigned to location or region, but does not exist in design
    Warning (15706): Node "ENET0_RST_N" is assigned to location or region, but does not exist in design
    Warning (15706): Node "ENET0_RX_CLK" is assigned to location or region, but does not exist in design
    Warning (15706): Node "ENET0_RX_COL" is assigned to location or region, but does not exist in design
    Warning (15706): Node "ENET0_RX_CRS" is assigned to location or region, but does not exist in design
    Warning (15706): Node "ENET0_RX_DATA[0]" is assigned to location or region, but does not exist in design
    Warning (15706): Node "ENET0_RX_DATA[1]" is assigned to location or region, but does not exist in design
    Warning (15706): Node "ENET0_RX_DATA[2]" is assigned to location or region, but does not exist in design
    Warning (15706): Node "ENET0_RX_DATA[3]" is assigned to location or region, but does not exist in design
    Warning (15706): Node "ENET0_RX_DV" is assigned to location or region, but does not exist in design
    Warning (15706): Node "ENET0_RX_ER" is assigned to location or region, but does not exist in design
    Warning (15706): Node "ENET0_TX_CLK" is assigned to location or region, but does not exist in design
    Warning (15706): Node "ENET0_TX_DATA[0]" is assigned to location or region, but does not exist in design
    Warning (15706): Node "ENET0_TX_DATA[1]" is assigned to location or region, but does not exist in design
    Warning (15706): Node "ENET0_TX_DATA[2]" is assigned to location or region, but does not exist in design
    Warning (15706): Node "ENET0_TX_DATA[3]" is assigned to location or region, but does not exist in design
    Warning (15706): Node "ENET0_TX_EN" is assigned to location or region, but does not exist in design
    Warning (15706): Node "ENET0_TX_ER" is assigned to location or region, but does not exist in design
    Warning (15706): Node "ENET1_GTX_CLK" is assigned to location or region, but does not exist in design
    Warning (15706): Node "ENET1_INT_N" is assigned to location or region, but does not exist in design
    Warning (15706): Node "ENET1_LINK100" is assigned to location or region, but does not exist in design
    Warning (15706): Node "ENET1_MDC" is assigned to location or region, but does not exist in design
    Warning (15706): Node "ENET1_MDIO" is assigned to location or region, but does not exist in design
    Warning (15706): Node "ENET1_RST_N" is assigned to location or region, but does not exist in design
    Warning (15706): Node "ENET1_RX_CLK" is assigned to location or region, but does not exist in design
    Warning (15706): Node "ENET1_RX_COL" is assigned to location or region, but does not exist in design
    Warning (15706): Node "ENET1_RX_CRS" is assigned to location or region, but does not exist in design
    Warning (15706): Node "ENET1_RX_DATA[0]" is assigned to location or region, but does not exist in design
    Warning (15706): Node "ENET1_RX_DATA[1]" is assigned to location or region, but does not exist in design
    Warning (15706): Node "ENET1_RX_DATA[2]" is assigned to location or region, but does not exist in design
    Warning (15706): Node "ENET1_RX_DATA[3]" is assigned to location or region, but does not exist in design
    Warning (15706): Node "ENET1_RX_DV" is assigned to location or region, but does not exist in design
    Warning (15706): Node "ENET1_RX_ER" is assigned to location or region, but does not exist in design
    Warning (15706): Node "ENET1_TX_CLK" is assigned to location or region, but does not exist in design
    Warning (15706): Node "ENET1_TX_DATA[0]" is assigned to location or region, but does not exist in design
    Warning (15706): Node "ENET1_TX_DATA[1]" is assigned to location or region, but does not exist in design
    Warning (15706): Node "ENET1_TX_DATA[2]" is assigned to location or region, but does not exist in design
    Warning (15706): Node "ENET1_TX_DATA[3]" is assigned to location or region, but does not exist in design
    Warning (15706): Node "ENET1_TX_EN" is assigned to location or region, but does not exist in design
    Warning (15706): Node "ENET1_TX_ER" is assigned to location or region, but does not exist in design
    Warning (15706): Node "ENETCLK_25" is assigned to location or region, but does not exist in design
    Warning (15706): Node "EX_IO[0]" is assigned to location or region, but does not exist in design
    Warning (15706): Node "EX_IO[1]" is assigned to location or region, but does not exist in design
    Warning (15706): Node "EX_IO[2]" is assigned to location or region, but does not exist in design
    Warning (15706): Node "EX_IO[3]" is assigned to location or region, but does not exist in design
    Warning (15706): Node "EX_IO[4]" is assigned to location or region, but does not exist in design
    Warning (15706): Node "EX_IO[5]" is assigned to location or region, but does not exist in design
    Warning (15706): Node "EX_IO[6]" is assigned to location or region, but does not exist in design
    Warning (15706): Node "I2C_SCLK" is assigned to location or region, but does not exist in design
    Warning (15706): Node "I2C_SDAT" is assigned to location or region, but does not exist in design
    Warning (15706): Node "IRDA_RXD" is assigned to location or region, but does not exist in design
    Warning (15706): Node "OTG_ADDR[0]" is assigned to location or region, but does not exist in design
    Warning (15706): Node "OTG_ADDR[1]" is assigned to location or region, but does not exist in design
    Warning (15706): Node "OTG_CS_N" is assigned to location or region, but does not exist in design
    Warning (15706): Node "OTG_DATA[0]" is assigned to location or region, but does not exist in design
    Warning (15706): Node "OTG_DATA[10]" is assigned to location or region, but does not exist in design
    Warning (15706): Node "OTG_DATA[11]" is assigned to location or region, but does not exist in design
    Warning (15706): Node "OTG_DATA[12]" is assigned to location or region, but does not exist in design
    Warning (15706): Node "OTG_DATA[13]" is assigned to location or region, but does not exist in design
    Warning (15706): Node "OTG_DATA[14]" is assigned to location or region, but does not exist in design
    Warning (15706): Node "OTG_DATA[15]" is assigned to location or region, but does not exist in design
    Warning (15706): Node "OTG_DATA[1]" is assigned to location or region, but does not exist in design
    Warning (15706): Node "OTG_DATA[2]" is assigned to location or region, but does not exist in design
    Warning (15706): Node "OTG_DATA[3]" is assigned to location or region, but does not exist in design
    Warning (15706): Node "OTG_DATA[4]" is assigned to location or region, but does not exist in design
    Warning (15706): Node "OTG_DATA[5]" is assigned to location or region, but does not exist in design
    Warning (15706): Node "OTG_DATA[6]" is assigned to location or region, but does not exist in design
    Warning (15706): Node "OTG_DATA[7]" is assigned to location or region, but does not exist in design
    Warning (15706): Node "OTG_DATA[8]" is assigned to location or region, but does not exist in design
    Warning (15706): Node "OTG_DATA[9]" is assigned to location or region, but does not exist in design
    Warning (15706): Node "OTG_INT" is assigned to location or region, but does not exist in design
    Warning (15706): Node "OTG_RD_N" is assigned to location or region, but does not exist in design
    Warning (15706): Node "OTG_RST_N" is assigned to location or region, but does not exist in design
    Warning (15706): Node "OTG_WE_N" is assigned to location or region, but does not exist in design
    Warning (15706): Node "SD_WP_N" is assigned to location or region, but does not exist in design
    Warning (15706): Node "SMA_CLKIN" is assigned to location or region, but does not exist in design
    Warning (15706): Node "SMA_CLKOUT" is assigned to location or region, but does not exist in design
    Warning (15706): Node "TD_CLK27" is assigned to location or region, but does not exist in design
    Warning (15706): Node "TD_DATA[0]" is assigned to location or region, but does not exist in design
    Warning (15706): Node "TD_DATA[1]" is assigned to location or region, but does not exist in design
    Warning (15706): Node "TD_DATA[2]" is assigned to location or region, but does not exist in design
    Warning (15706): Node "TD_DATA[3]" is assigned to location or region, but does not exist in design
    Warning (15706): Node "TD_DATA[4]" is assigned to location or region, but does not exist in design
    Warning (15706): Node "TD_DATA[5]" is assigned to location or region, but does not exist in design
    Warning (15706): Node "TD_DATA[6]" is assigned to location or region, but does not exist in design
    Warning (15706): Node "TD_DATA[7]" is assigned to location or region, but does not exist in design
    Warning (15706): Node "TD_HS" is assigned to location or region, but does not exist in design
    Warning (15706): Node "TD_RESET_N" is assigned to location or region, but does not exist in design
    Warning (15706): Node "TD_VS" is assigned to location or region, but does not exist in design
Info (171121): Fitter preparation operations ending: elapsed time is 00:01:23
Info (170189): Fitter placement preparation operations beginning
Info (170190): Fitter placement preparation operations ending: elapsed time is 00:00:06
Info (170191): Fitter placement operations beginning
Info (170137): Fitter placement was successful
Info (170192): Fitter placement operations ending: elapsed time is 00:00:11
Info (170193): Fitter routing operations beginning
Info (170195): Router estimated average interconnect usage is 1% of the available device resources
    Info (170196): Router estimated peak interconnect usage is 30% of the available device resources in the region that extends from location X58_Y24 to location X68_Y36
Info (170194): Fitter routing operations ending: elapsed time is 00:00:50
Info (11888): Total time spent on timing analysis during the Fitter is 9.64 seconds.
Info (334003): Started post-fitting delay annotation
Info (334004): Delay annotation completed successfully
Info (334003): Started post-fitting delay annotation
Info (334004): Delay annotation completed successfully
Info (11218): Fitter post-fit operations ending: elapsed time is 00:00:24
Warning (171167): Found invalid Fitter assignments. See the Ignored Assignments panel in the Fitter Compilation Report for more information.
Warning (169177): 102 pins must meet Altera requirements for 3.3-, 3.0-, and 2.5-V interfaces. For more information, refer to AN 447: Interfacing Cyclone IV E Devices with 3.3/3.0/2.5-V LVTTL/LVCMOS I/O Systems.
    Info (169178): Pin UART_RXD uses I/O standard 3.3-V LVTTL at G12
    Info (169178): Pin UART_RTS uses I/O standard 3.3-V LVTTL at G14
    Info (169178): Pin UART_CTS uses I/O standard 3.3-V LVTTL at J13
    Info (169178): Pin FL_RY uses I/O standard 3.3-V LVTTL at Y1
    Info (169178): Pin SD_DAT0 uses I/O standard 3.3-V LVTTL at AE14
    Info (169178): Pin AUD_ADCDAT uses I/O standard 3.3-V LVTTL at D2
    Info (169178): Pin SD_DAT1 uses I/O standard 3.3-V LVTTL at AF13
    Info (169178): Pin SD_DAT2 uses I/O standard 3.3-V LVTTL at AB14
    Info (169178): Pin PS2_DAT2 uses I/O standard 3.3-V LVTTL at F5
    Info (169178): Pin PS2_CLK2 uses I/O standard 3.3-V LVTTL at G5
    Info (169178): Pin DRAM_DQ[0] uses I/O standard 3.3-V LVTTL at W3
    Info (169178): Pin DRAM_DQ[1] uses I/O standard 3.3-V LVTTL at W2
    Info (169178): Pin DRAM_DQ[2] uses I/O standard 3.3-V LVTTL at V4
    Info (169178): Pin DRAM_DQ[3] uses I/O standard 3.3-V LVTTL at W1
    Info (169178): Pin DRAM_DQ[4] uses I/O standard 3.3-V LVTTL at V3
    Info (169178): Pin DRAM_DQ[5] uses I/O standard 3.3-V LVTTL at V2
    Info (169178): Pin DRAM_DQ[6] uses I/O standard 3.3-V LVTTL at V1
    Info (169178): Pin DRAM_DQ[7] uses I/O standard 3.3-V LVTTL at U3
    Info (169178): Pin DRAM_DQ[8] uses I/O standard 3.3-V LVTTL at Y3
    Info (169178): Pin DRAM_DQ[9] uses I/O standard 3.3-V LVTTL at Y4
    Info (169178): Pin DRAM_DQ[10] uses I/O standard 3.3-V LVTTL at AB1
    Info (169178): Pin DRAM_DQ[11] uses I/O standard 3.3-V LVTTL at AA3
    Info (169178): Pin DRAM_DQ[12] uses I/O standard 3.3-V LVTTL at AB2
    Info (169178): Pin DRAM_DQ[13] uses I/O standard 3.3-V LVTTL at AC1
    Info (169178): Pin DRAM_DQ[14] uses I/O standard 3.3-V LVTTL at AB3
    Info (169178): Pin DRAM_DQ[15] uses I/O standard 3.3-V LVTTL at AC2
    Info (169178): Pin DRAM_DQ[16] uses I/O standard 3.3-V LVTTL at M8
    Info (169178): Pin DRAM_DQ[17] uses I/O standard 3.3-V LVTTL at L8
    Info (169178): Pin DRAM_DQ[18] uses I/O standard 3.3-V LVTTL at P2
    Info (169178): Pin DRAM_DQ[19] uses I/O standard 3.3-V LVTTL at N3
    Info (169178): Pin DRAM_DQ[20] uses I/O standard 3.3-V LVTTL at N4
    Info (169178): Pin DRAM_DQ[21] uses I/O standard 3.3-V LVTTL at M4
    Info (169178): Pin DRAM_DQ[22] uses I/O standard 3.3-V LVTTL at M7
    Info (169178): Pin DRAM_DQ[23] uses I/O standard 3.3-V LVTTL at L7
    Info (169178): Pin DRAM_DQ[24] uses I/O standard 3.3-V LVTTL at U5
    Info (169178): Pin DRAM_DQ[25] uses I/O standard 3.3-V LVTTL at R7
    Info (169178): Pin DRAM_DQ[26] uses I/O standard 3.3-V LVTTL at R1
    Info (169178): Pin DRAM_DQ[27] uses I/O standard 3.3-V LVTTL at R2
    Info (169178): Pin DRAM_DQ[28] uses I/O standard 3.3-V LVTTL at R3
    Info (169178): Pin DRAM_DQ[29] uses I/O standard 3.3-V LVTTL at T3
    Info (169178): Pin DRAM_DQ[30] uses I/O standard 3.3-V LVTTL at U4
    Info (169178): Pin DRAM_DQ[31] uses I/O standard 3.3-V LVTTL at U1
    Info (169178): Pin FL_DQ[0] uses I/O standard 3.3-V LVTTL at AH8
    Info (169178): Pin FL_DQ[1] uses I/O standard 3.3-V LVTTL at AF10
    Info (169178): Pin FL_DQ[2] uses I/O standard 3.3-V LVTTL at AG10
    Info (169178): Pin FL_DQ[3] uses I/O standard 3.3-V LVTTL at AH10
    Info (169178): Pin FL_DQ[4] uses I/O standard 3.3-V LVTTL at AF11
    Info (169178): Pin FL_DQ[5] uses I/O standard 3.3-V LVTTL at AG11
    Info (169178): Pin FL_DQ[6] uses I/O standard 3.3-V LVTTL at AH11
    Info (169178): Pin FL_DQ[7] uses I/O standard 3.3-V LVTTL at AF12
    Info (169178): Pin SRAM_DQ[0] uses I/O standard 3.3-V LVTTL at AH3
    Info (169178): Pin SRAM_DQ[1] uses I/O standard 3.3-V LVTTL at AF4
    Info (169178): Pin SRAM_DQ[2] uses I/O standard 3.3-V LVTTL at AG4
    Info (169178): Pin SRAM_DQ[3] uses I/O standard 3.3-V LVTTL at AH4
    Info (169178): Pin SRAM_DQ[4] uses I/O standard 3.3-V LVTTL at AF6
    Info (169178): Pin SRAM_DQ[5] uses I/O standard 3.3-V LVTTL at AG6
    Info (169178): Pin SRAM_DQ[6] uses I/O standard 3.3-V LVTTL at AH6
    Info (169178): Pin SRAM_DQ[7] uses I/O standard 3.3-V LVTTL at AF7
    Info (169178): Pin SRAM_DQ[8] uses I/O standard 3.3-V LVTTL at AD1
    Info (169178): Pin SRAM_DQ[9] uses I/O standard 3.3-V LVTTL at AD2
    Info (169178): Pin SRAM_DQ[10] uses I/O standard 3.3-V LVTTL at AE2
    Info (169178): Pin SRAM_DQ[11] uses I/O standard 3.3-V LVTTL at AE1
    Info (169178): Pin SRAM_DQ[12] uses I/O standard 3.3-V LVTTL at AE3
    Info (169178): Pin SRAM_DQ[13] uses I/O standard 3.3-V LVTTL at AE4
    Info (169178): Pin SRAM_DQ[14] uses I/O standard 3.3-V LVTTL at AF3
    Info (169178): Pin SRAM_DQ[15] uses I/O standard 3.3-V LVTTL at AG3
    Info (169178): Pin PS2_DAT uses I/O standard 3.3-V LVTTL at H5
    Info (169178): Pin PS2_CLK uses I/O standard 3.3-V LVTTL at G6
    Info (169178): Pin AUD_ADCLRCK uses I/O standard 3.3-V LVTTL at C2
    Info (169178): Pin AUD_DACLRCK uses I/O standard 3.3-V LVTTL at E3
    Info (169178): Pin AUD_BCLK uses I/O standard 3.3-V LVTTL at F2
    Info (169178): Pin LCD_DATA[0] uses I/O standard 3.3-V LVTTL at L3
    Info (169178): Pin LCD_DATA[1] uses I/O standard 3.3-V LVTTL at L1
    Info (169178): Pin LCD_DATA[2] uses I/O standard 3.3-V LVTTL at L2
    Info (169178): Pin LCD_DATA[3] uses I/O standard 3.3-V LVTTL at K7
    Info (169178): Pin LCD_DATA[4] uses I/O standard 3.3-V LVTTL at K1
    Info (169178): Pin LCD_DATA[5] uses I/O standard 3.3-V LVTTL at K2
    Info (169178): Pin LCD_DATA[6] uses I/O standard 3.3-V LVTTL at M3
    Info (169178): Pin LCD_DATA[7] uses I/O standard 3.3-V LVTTL at M5
    Info (169178): Pin SW[17] uses I/O standard 3.3-V LVTTL at Y23
    Info (169178): Pin CLOCK_50 uses I/O standard 3.3-V LVTTL at Y2
    Info (169178): Pin SW[16] uses I/O standard 3.3-V LVTTL at Y24
    Info (169178): Pin SW[1] uses I/O standard 3.3-V LVTTL at AC28
    Info (169178): Pin SW[9] uses I/O standard 3.3-V LVTTL at AB25
    Info (169178): Pin KEY[1] uses I/O standard 3.3-V LVTTL at M21
    Info (169178): Pin SW[8] uses I/O standard 3.3-V LVTTL at AC25
    Info (169178): Pin SW[0] uses I/O standard 3.3-V LVTTL at AB28
    Info (169178): Pin KEY[0] uses I/O standard 3.3-V LVTTL at M23
    Info (169178): Pin SW[15] uses I/O standard 3.3-V LVTTL at AA22
    Info (169178): Pin SW[7] uses I/O standard 3.3-V LVTTL at AB26
    Info (169178): Pin SW[14] uses I/O standard 3.3-V LVTTL at AA23
    Info (169178): Pin SW[6] uses I/O standard 3.3-V LVTTL at AD26
    Info (169178): Pin SW[10] uses I/O standard 3.3-V LVTTL at AC24
    Info (169178): Pin SW[2] uses I/O standard 3.3-V LVTTL at AC27
    Info (169178): Pin KEY[2] uses I/O standard 3.3-V LVTTL at N21
    Info (169178): Pin SW[13] uses I/O standard 3.3-V LVTTL at AA24
    Info (169178): Pin SW[5] uses I/O standard 3.3-V LVTTL at AC26
    Info (169178): Pin SW[12] uses I/O standard 3.3-V LVTTL at AB23
    Info (169178): Pin SW[4] uses I/O standard 3.3-V LVTTL at AB27
    Info (169178): Pin SW[3] uses I/O standard 3.3-V LVTTL at AD27
    Info (169178): Pin SW[11] uses I/O standard 3.3-V LVTTL at AB24
    Info (169178): Pin KEY[3] uses I/O standard 3.3-V LVTTL at R24
Warning (169064): Following 65 pins have no output enable or a GND or VCC output enable - later changes to this connectivity may change fitting results
    Info (169065): Pin SD_DAT1 has a permanently disabled output enable
    Info (169065): Pin SD_DAT2 has a permanently disabled output enable
    Info (169065): Pin PS2_DAT2 has a permanently disabled output enable
    Info (169065): Pin PS2_CLK2 has a permanently disabled output enable
    Info (169065): Pin DRAM_DQ[0] has a permanently disabled output enable
    Info (169065): Pin DRAM_DQ[1] has a permanently disabled output enable
    Info (169065): Pin DRAM_DQ[2] has a permanently disabled output enable
    Info (169065): Pin DRAM_DQ[3] has a permanently disabled output enable
    Info (169065): Pin DRAM_DQ[4] has a permanently disabled output enable
    Info (169065): Pin DRAM_DQ[5] has a permanently disabled output enable
    Info (169065): Pin DRAM_DQ[6] has a permanently disabled output enable
    Info (169065): Pin DRAM_DQ[7] has a permanently disabled output enable
    Info (169065): Pin DRAM_DQ[8] has a permanently disabled output enable
    Info (169065): Pin DRAM_DQ[9] has a permanently disabled output enable
    Info (169065): Pin DRAM_DQ[10] has a permanently disabled output enable
    Info (169065): Pin DRAM_DQ[11] has a permanently disabled output enable
    Info (169065): Pin DRAM_DQ[12] has a permanently disabled output enable
    Info (169065): Pin DRAM_DQ[13] has a permanently disabled output enable
    Info (169065): Pin DRAM_DQ[14] has a permanently disabled output enable
    Info (169065): Pin DRAM_DQ[15] has a permanently disabled output enable
    Info (169065): Pin DRAM_DQ[16] has a permanently disabled output enable
    Info (169065): Pin DRAM_DQ[17] has a permanently disabled output enable
    Info (169065): Pin DRAM_DQ[18] has a permanently disabled output enable
    Info (169065): Pin DRAM_DQ[19] has a permanently disabled output enable
    Info (169065): Pin DRAM_DQ[20] has a permanently disabled output enable
    Info (169065): Pin DRAM_DQ[21] has a permanently disabled output enable
    Info (169065): Pin DRAM_DQ[22] has a permanently disabled output enable
    Info (169065): Pin DRAM_DQ[23] has a permanently disabled output enable
    Info (169065): Pin DRAM_DQ[24] has a permanently disabled output enable
    Info (169065): Pin DRAM_DQ[25] has a permanently disabled output enable
    Info (169065): Pin DRAM_DQ[26] has a permanently disabled output enable
    Info (169065): Pin DRAM_DQ[27] has a permanently disabled output enable
    Info (169065): Pin DRAM_DQ[28] has a permanently disabled output enable
    Info (169065): Pin DRAM_DQ[29] has a permanently disabled output enable
    Info (169065): Pin DRAM_DQ[30] has a permanently disabled output enable
    Info (169065): Pin DRAM_DQ[31] has a permanently disabled output enable
    Info (169065): Pin FL_DQ[0] has a permanently disabled output enable
    Info (169065): Pin FL_DQ[1] has a permanently disabled output enable
    Info (169065): Pin FL_DQ[2] has a permanently disabled output enable
    Info (169065): Pin FL_DQ[3] has a permanently disabled output enable
    Info (169065): Pin FL_DQ[4] has a permanently disabled output enable
    Info (169065): Pin FL_DQ[5] has a permanently disabled output enable
    Info (169065): Pin FL_DQ[6] has a permanently disabled output enable
    Info (169065): Pin FL_DQ[7] has a permanently disabled output enable
    Info (169065): Pin SRAM_DQ[8] has a permanently disabled output enable
    Info (169065): Pin SRAM_DQ[9] has a permanently disabled output enable
    Info (169065): Pin SRAM_DQ[10] has a permanently disabled output enable
    Info (169065): Pin SRAM_DQ[11] has a permanently disabled output enable
    Info (169065): Pin SRAM_DQ[12] has a permanently disabled output enable
    Info (169065): Pin SRAM_DQ[13] has a permanently disabled output enable
    Info (169065): Pin SRAM_DQ[14] has a permanently disabled output enable
    Info (169065): Pin SRAM_DQ[15] has a permanently disabled output enable
    Info (169065): Pin PS2_DAT has a permanently disabled output enable
    Info (169065): Pin PS2_CLK has a permanently disabled output enable
    Info (169065): Pin AUD_ADCLRCK has a permanently disabled output enable
    Info (169065): Pin AUD_DACLRCK has a permanently disabled output enable
    Info (169065): Pin AUD_BCLK has a permanently disabled output enable
    Info (169065): Pin LCD_DATA[0] has a permanently enabled output enable
    Info (169065): Pin LCD_DATA[1] has a permanently enabled output enable
    Info (169065): Pin LCD_DATA[2] has a permanently enabled output enable
    Info (169065): Pin LCD_DATA[3] has a permanently enabled output enable
    Info (169065): Pin LCD_DATA[4] has a permanently enabled output enable
    Info (169065): Pin LCD_DATA[5] has a permanently enabled output enable
    Info (169065): Pin LCD_DATA[6] has a permanently enabled output enable
    Info (169065): Pin LCD_DATA[7] has a permanently enabled output enable
Info (144001): Generated suppressed messages file F:/z80soc-local/hw/0.7.3/DE2115/073DE2115e.fit.smsg
Info: Quartus II 64-Bit Fitter was successful. 0 errors, 204 warnings
    Info: Peak virtual memory: 911 megabytes
    Info: Processing ended: Sun Jun 19 13:44:52 2016
    Info: Elapsed time: 00:04:39
    Info: Total CPU time (on all processors): 00:03:27


+----------------------------+
; Fitter Suppressed Messages ;
+----------------------------+
The suppressed messages can be found in F:/z80soc-local/hw/0.7.3/DE2115/073DE2115e.fit.smsg.


Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.