OpenCores
URL https://opencores.org/ocsvn/z80soc/z80soc/trunk

Subversion Repositories z80soc

[/] [z80soc/] [trunk/] [V0.7.3/] [DE2115/] [073DE2115e.flow.rpt] - Rev 46

Compare with Previous | Blame | View Log

Flow report for 073DE2115e
Sun Jun 19 15:21:51 2016
Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition


---------------------
; Table of Contents ;
---------------------
  1. Legal Notice
  2. Flow Summary
  3. Flow Settings
  4. Flow Non-Default Global Settings
  5. Flow Elapsed Time
  6. Flow OS Summary
  7. Flow Log
  8. Flow Messages
  9. Flow Suppressed Messages



----------------
; Legal Notice ;
----------------
Copyright (C) 1991-2013 Altera Corporation
Your use of Altera Corporation's design tools, logic functions 
and other software and tools, and its AMPP partner logic 
functions, and any output files from any of the foregoing 
(including device programming or simulation files), and any 
associated documentation or information are expressly subject 
to the terms and conditions of the Altera Program License 
Subscription Agreement, Altera MegaCore Function License 
Agreement, or other applicable license agreement, including, 
without limitation, that your use is for the sole purpose of 
programming logic devices manufactured by Altera and sold by 
Altera or its authorized distributors.  Please refer to the 
applicable agreement for further details.



+--------------------------------------------------------------------------------------+
; Flow Summary                                                                         ;
+------------------------------------+-------------------------------------------------+
; Flow Status                        ; Successful - Sun Jun 19 15:21:51 2016           ;
; Quartus II 64-Bit Version          ; 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition ;
; Revision Name                      ; 073DE2115e                                      ;
; Top-level Entity Name              ; Z80SOC                                          ;
; Family                             ; Cyclone IV E                                    ;
; Device                             ; EP4CE115F29C7                                   ;
; Timing Models                      ; Final                                           ;
; Total logic elements               ; 3,187 / 114,480 ( 3 % )                         ;
;     Total combinational functions  ; 3,098 / 114,480 ( 3 % )                         ;
;     Dedicated logic registers      ; 625 / 114,480 ( < 1 % )                         ;
; Total registers                    ; 625                                             ;
; Total pins                         ; 303 / 529 ( 57 % )                              ;
; Total virtual pins                 ; 0                                               ;
; Total memory bits                  ; 196,600 / 3,981,312 ( 5 % )                     ;
; Embedded Multiplier 9-bit elements ; 0 / 532 ( 0 % )                                 ;
; Total PLLs                         ; 0 / 4 ( 0 % )                                   ;
+------------------------------------+-------------------------------------------------+


+-----------------------------------------+
; Flow Settings                           ;
+-------------------+---------------------+
; Option            ; Setting             ;
+-------------------+---------------------+
; Start date & time ; 06/19/2016 13:38:25 ;
; Main task         ; Compilation         ;
; Revision Name     ; 073DE2115e          ;
+-------------------+---------------------+


+------------------------------------------------------------------------------------------------------------------------+
; Flow Non-Default Global Settings                                                                                       ;
+-------------------------------------+---------------------------------------+---------------+-------------+------------+
; Assignment Name                     ; Value                                 ; Default Value ; Entity Name ; Section Id ;
+-------------------------------------+---------------------------------------+---------------+-------------+------------+
; AUTO_SHIFT_REGISTER_RECOGNITION     ; Off                                   ; Auto          ; --          ; --         ;
; COMPILER_SIGNATURE_ID               ; 8796761971725.146636870403736         ; --            ; --          ; --         ;
; FITTER_EFFORT                       ; Fast Fit                              ; Auto Fit      ; --          ; --         ;
; MAX_CORE_JUNCTION_TEMP              ; 85                                    ; --            ; --          ; --         ;
; MIN_CORE_JUNCTION_TEMP              ; 0                                     ; --            ; --          ; --         ;
; PARTITION_COLOR                     ; 16764057                              ; --            ; Z80SOC      ; Top        ;
; PARTITION_FITTER_PRESERVATION_LEVEL ; PLACEMENT_AND_ROUTING                 ; --            ; Z80SOC      ; Top        ;
; PARTITION_NETLIST_TYPE              ; SOURCE                                ; --            ; Z80SOC      ; Top        ;
; POWER_BOARD_THERMAL_MODEL           ; None (CONSERVATIVE)                   ; --            ; --          ; --         ;
; POWER_PRESET_COOLING_SOLUTION       ; 23 MM HEAT SINK WITH 200 LFPM AIRFLOW ; --            ; --          ; --         ;
; SMART_RECOMPILE                     ; On                                    ; Off           ; --          ; --         ;
; TOP_LEVEL_ENTITY                    ; Z80SOC                                ; 073DE2115e    ; --          ; --         ;
; USE_GENERATED_PHYSICAL_CONSTRAINTS  ; Off                                   ; --            ; --          ; eda_palace ;
+-------------------------------------+---------------------------------------+---------------+-------------+------------+


+-------------------------------------------------------------------------------------------------------------------------------+
; Flow Elapsed Time                                                                                                             ;
+---------------------------+--------------+-------------------------+---------------------+------------------------------------+
; Module Name               ; Elapsed Time ; Average Processors Used ; Peak Virtual Memory ; Total CPU Time (on all processors) ;
+---------------------------+--------------+-------------------------+---------------------+------------------------------------+
; Analysis & Synthesis      ; 00:01:41     ; 1.0                     ; 538 MB              ; 00:01:06                           ;
; Fitter                    ; 00:04:34     ; 1.0                     ; 911 MB              ; 00:03:24                           ;
; Assembler                 ; 00:00:28     ; 1.0                     ; 433 MB              ; 00:00:20                           ;
; TimeQuest Timing Analyzer ; 00:00:46     ; 1.0                     ; 571 MB              ; 00:00:29                           ;
; MIF/HEX Update            ; 00:00:08     ; 1.0                     ; 480 MB              ; 00:00:07                           ;
; Assembler                 ; 00:00:26     ; 1.0                     ; 437 MB              ; 00:00:22                           ;
; MIF/HEX Update            ; 00:00:12     ; 1.0                     ; 480 MB              ; 00:00:08                           ;
; Assembler                 ; 00:00:34     ; 1.0                     ; 441 MB              ; 00:00:23                           ;
; MIF/HEX Update            ; 00:00:24     ; 1.0                     ; 480 MB              ; 00:00:12                           ;
; Assembler                 ; 00:00:44     ; 1.0                     ; 441 MB              ; 00:00:25                           ;
; MIF/HEX Update            ; 00:00:14     ; 1.0                     ; 480 MB              ; 00:00:08                           ;
; Assembler                 ; 00:00:39     ; 1.0                     ; 445 MB              ; 00:00:22                           ;
; MIF/HEX Update            ; 00:00:19     ; 1.0                     ; 480 MB              ; 00:00:09                           ;
; Assembler                 ; 00:00:43     ; 1.0                     ; 445 MB              ; 00:00:24                           ;
; MIF/HEX Update            ; 00:00:07     ; 1.0                     ; 480 MB              ; 00:00:06                           ;
; Assembler                 ; 00:00:24     ; 1.0                     ; 445 MB              ; 00:00:21                           ;
; Total                     ; 00:12:23     ; --                      ; --                  ; 00:08:26                           ;
+---------------------------+--------------+-------------------------+---------------------+------------------------------------+


+----------------------------------------------------------------------------------------+
; Flow OS Summary                                                                        ;
+---------------------------+------------------+-----------+------------+----------------+
; Module Name               ; Machine Hostname ; OS Name   ; OS Version ; Processor type ;
+---------------------------+------------------+-----------+------------+----------------+
; Analysis & Synthesis      ; VBOXW7-PC        ; Windows 7 ; 6.1        ; x86_64         ;
; Fitter                    ; VBOXW7-PC        ; Windows 7 ; 6.1        ; x86_64         ;
; Assembler                 ; VBOXW7-PC        ; Windows 7 ; 6.1        ; x86_64         ;
; TimeQuest Timing Analyzer ; VBOXW7-PC        ; Windows 7 ; 6.1        ; x86_64         ;
; MIF/HEX Update            ; VBOXW7-PC        ; Windows 7 ; 6.1        ; x86_64         ;
; Assembler                 ; VBOXW7-PC        ; Windows 7 ; 6.1        ; x86_64         ;
; MIF/HEX Update            ; VBOXW7-PC        ; Windows 7 ; 6.1        ; x86_64         ;
; Assembler                 ; VBOXW7-PC        ; Windows 7 ; 6.1        ; x86_64         ;
; MIF/HEX Update            ; VBOXW7-PC        ; Windows 7 ; 6.1        ; x86_64         ;
; Assembler                 ; VBOXW7-PC        ; Windows 7 ; 6.1        ; x86_64         ;
; MIF/HEX Update            ; VBOXW7-PC        ; Windows 7 ; 6.1        ; x86_64         ;
; Assembler                 ; VBOXW7-PC        ; Windows 7 ; 6.1        ; x86_64         ;
; MIF/HEX Update            ; VBOXW7-PC        ; Windows 7 ; 6.1        ; x86_64         ;
; Assembler                 ; VBOXW7-PC        ; Windows 7 ; 6.1        ; x86_64         ;
; MIF/HEX Update            ; VBOXW7-PC        ; Windows 7 ; 6.1        ; x86_64         ;
; Assembler                 ; VBOXW7-PC        ; Windows 7 ; 6.1        ; x86_64         ;
+---------------------------+------------------+-----------+------------+----------------+


------------
; Flow Log ;
------------
quartus_map --read_settings_files=on --write_settings_files=off z80soc -c 073DE2115e
quartus_fit --read_settings_files=off --write_settings_files=off z80soc -c 073DE2115e
quartus_asm --read_settings_files=off --write_settings_files=off z80soc -c 073DE2115e
quartus_sta z80soc -c 073DE2115e
quartus_cdb z80soc -c 073DE2115e --update_mif
quartus_asm --read_settings_files=on --write_settings_files=off z80soc -c 073DE2115e
quartus_cdb z80soc -c 073DE2115e --update_mif
quartus_asm --read_settings_files=on --write_settings_files=off z80soc -c 073DE2115e
quartus_cdb z80soc -c 073DE2115e --update_mif
quartus_asm --read_settings_files=on --write_settings_files=off z80soc -c 073DE2115e
quartus_cdb z80soc -c 073DE2115e --update_mif
quartus_asm --read_settings_files=on --write_settings_files=off z80soc -c 073DE2115e
quartus_cdb z80soc -c 073DE2115e --update_mif
quartus_asm --read_settings_files=on --write_settings_files=off z80soc -c 073DE2115e
quartus_cdb z80soc -c 073DE2115e --update_mif
quartus_asm --read_settings_files=on --write_settings_files=off z80soc -c 073DE2115e



Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.