OpenCores
URL https://opencores.org/ocsvn/uart2bus/uart2bus/trunk

Subversion Repositories uart2bus

[/] [uart2bus/] [trunk/] - Rev 12

Rev

Go to most recent revision | Changes | View Log | RSS feed

Last modification

  • Rev 12 2012-02-25 10:48:40 GMT
  • Author: motilito
  • Log message:
    Updated Verilog implementation to sync with VHDL to include internal bus request/grant mechanism.
Path Last modification Log RSS feed
[FOLDER] uart2bus/ 12  4415d 20h motilito View Log RSS feed
[NODE][FOLDER] branches/ 1  5158d 12h root View Log RSS feed
[NODE][FOLDER] tags/ 1  5158d 12h root View Log RSS feed
[NODE][FOLDER] trunk/ 12  4415d 20h motilito View Log RSS feed
[NODE][NODE][FOLDER] doc/ 12  4415d 20h motilito View Log RSS feed
[NODE][NODE][FOLDER] scilab/ 7  4753d 10h motilito View Log RSS feed
[NODE][NODE][FOLDER] verilog/ 12  4415d 20h motilito View Log RSS feed
[NODE][NODE][FOLDER] vhdl/ 11  4417d 11h smuller View Log RSS feed

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.