OpenCores
URL https://opencores.org/ocsvn/virtex7_pcie_dma/virtex7_pcie_dma/trunk

Subversion Repositories virtex7_pcie_dma

[/] [virtex7_pcie_dma/] [trunk] - Rev 45

Rev

Go to most recent revision | Details | Compare with Previous | Blame

Filtering Options

Clear current filter

Rev Log message Author Age Path
45 Fixed duplicate driver and Vivado 2018.1 PCIe core fransschreuder 1957d 08h /virtex7_pcie_dma/trunk
44 EDITED: added image size aborga 2045d 00h /virtex7_pcie_dma/trunk
43 ADDED: README.md to be parsed by the OC project page aborga 2045d 05h /virtex7_pcie_dma/trunk
42 Added filter in wuppercodegen in order to generate 2d arrays of registers fransschreuder 2390d 05h /virtex7_pcie_dma/trunk
41 Added brief description of Wishbone broel 2490d 04h /virtex7_pcie_dma/trunk
40 Updated comment header for syscon. broel 2490d 06h /virtex7_pcie_dma/trunk
39 Added Wishbone bus to Wupper plus a Wishbone memory as an example. broel 2494d 01h /virtex7_pcie_dma/trunk
38 Fixed include of stdint.h broel 2502d 08h /virtex7_pcie_dma/trunk
37 * Added WupperCodeGen, a tool to generate the registermap vhdl, c++ and latex doc from a single .YAML file
* Fixed bug: crash when polling enable bits while transferring DMA in two directions at the same time
* Code cleanup
* Updated documentation with WupperCodeGen
fransschreuder 2503d 00h /virtex7_pcie_dma/trunk
36 Updated documentation fransschreuder 2838d 01h /virtex7_pcie_dma/trunk
35 FIXED:
* PCIe lock when reading registers on a high frequency
* Added threshold registers for Prog Full of the FromHost fifo
* Code cleanup
fransschreuder 2892d 06h /virtex7_pcie_dma/trunk
34 FIXED:
* Wrong TLP length reported on register writes
* Two simultaneous interrupts were not handled
* XADC wizard for ultrascale devices

Added:
* Added voltage (int, aux, bram) readout on XADC wizards
fransschreuder 2998d 01h /virtex7_pcie_dma/trunk
33 ADDED:
-- supportedtools.tex, again to test the OC repo
aborga 3042d 23h /virtex7_pcie_dma/trunk
32 MODIFIED:
-- minor things just to test OC svn repo
aborga 3042d 23h /virtex7_pcie_dma/trunk
31 Added example application documentation. oussamak 3137d 01h /virtex7_pcie_dma/trunk
30 Added:
* Wupper GUI with speed test and chain test
* Added wupper-dma-transfer, wupper-chaintest and wupper-write
* Several bug fixes in the Wupper tools
oussamak 3137d 02h /virtex7_pcie_dma/trunk
29 Improved application to reflect both up and down transfers fransschreuder 3178d 23h /virtex7_pcie_dma/trunk
28 Added registermap reset fransschreuder 3179d 02h /virtex7_pcie_dma/trunk
27 Fixed:
* BUG 2580: Missing packets in receive (PC => FPGA) path

Changed:
* Client tags now handled by Xilinx IP core
* fifo signals to reflect upfifo and downfifo naming
fransschreuder 3179d 05h /virtex7_pcie_dma/trunk
26 Added sys_clk constraint fransschreuder 3179d 07h /virtex7_pcie_dma/trunk
25 Added scripts and constraints for KCU105 fransschreuder 3179d 07h /virtex7_pcie_dma/trunk
24 Added:
* Support for KCU105 board in code
TODO
* Add constraints and build scripts for KCU105
fransschreuder 3180d 01h /virtex7_pcie_dma/trunk
23 Fixed reset of application registers fransschreuder 3237d 06h /virtex7_pcie_dma/trunk
22 Added dma_soft_reset to trigger register resets fransschreuder 3243d 06h /virtex7_pcie_dma/trunk
21 Fixed BUG http://opencores.org/bug,view,2562 fransschreuder 3252d 03h /virtex7_pcie_dma/trunk
20 Fixed:
* Missing packets if the fifo goes empty during a TLP
* Dynamically change the empty threshold of the main fifo to TLP size
fransschreuder 3266d 02h /virtex7_pcie_dma/trunk
19 * driver/README updated oussamak 3272d 04h /virtex7_pcie_dma/trunk
18 Changed:
* Added drivers
* Added Wupper tools for debugging
* Added card ID register
oussamak 3272d 05h /virtex7_pcie_dma/trunk
17 Changed name of toplevel, to make tree consistent oussamak 3286d 08h /virtex7_pcie_dma/trunk
16 MODIFED:
-- top level name to wupper_oc (including scripts)
aborga 3336d 02h /virtex7_pcie_dma/trunk

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.