OpenCores
URL https://opencores.org/ocsvn/t400/t400/trunk

Subversion Repositories t400

[/] [t400/] [trunk/] [rtl/] - Rev 153

Rev

Go to most recent revision

Filtering Options

Clear current filter

Rev Log message Author Age Path
153 remodel synchronous RAM arniml 6677d 04h /t400/trunk/rtl/
144 initial check-in arniml 6682d 20h /t400/trunk/rtl/
143 added t410 arniml 6682d 20h /t400/trunk/rtl/
139 initial check-in arniml 6683d 04h /t400/trunk/rtl/
138 * hand generic opt_type_g down to t420_notri
* full generic list
arniml 6683d 04h /t400/trunk/rtl/
137 hand generic opt_type_g down to t400_core arniml 6683d 04h /t400/trunk/rtl/
136 * t421 added
* fixed generic list for t420 and t420_notri
arniml 6683d 04h /t400/trunk/rtl/
130 Fix bug:
"Timer skipped in T421 configuration"
The generate block that instantiates the timer module considers
now t400_opt_type_421_x as well.
arniml 6683d 04h /t400/trunk/rtl/
129 assign sc_q with 0 for t41x derivatives
this prevents a dummy warning about inferring latches for sc_q
arniml 6683d 16h /t400/trunk/rtl/
121 remove note about limitations arniml 6688d 17h /t400/trunk/rtl/
120 initial check-in arniml 6688d 19h /t400/trunk/rtl/
115 use dedicated microbus cs/rd/wr strobes arniml 6688d 21h /t400/trunk/rtl/
114 latch Q independently of in_en arniml 6688d 21h /t400/trunk/rtl/
113 reset G(0) upon write access in microbus mode arniml 6688d 21h /t400/trunk/rtl/
112 microbus support for IO G added arniml 6688d 21h /t400/trunk/rtl/
111 generic_ram_ena added arniml 6688d 22h /t400/trunk/rtl/
110 connect microbus generic arniml 6688d 22h /t400/trunk/rtl/
109 connect microbus generic and include generic_ram_ena arniml 6688d 22h /t400/trunk/rtl/
108 include generic_ram_ena arniml 6688d 22h /t400/trunk/rtl/
107 use microbus generic arniml 6688d 22h /t400/trunk/rtl/
106 initial check-in arniml 6688d 22h /t400/trunk/rtl/
103 latch io_l_i upon input enable trigger arniml 6689d 04h /t400/trunk/rtl/
102 interface comments added arniml 6689d 04h /t400/trunk/rtl/
101 connect microbus control signals to IO L arniml 6689d 04h /t400/trunk/rtl/
90 fix pop'ing of skip flag arniml 6697d 02h /t400/trunk/rtl/
89 load 0x100 upon interrupt arniml 6697d 02h /t400/trunk/rtl/
88 execute virtual NOP at location 0x0ff when vectoring to interrupt routine arniml 6697d 02h /t400/trunk/rtl/
70 interrupt functionality added arniml 6697d 23h /t400/trunk/rtl/
69 instrument testbench arniml 6697d 23h /t400/trunk/rtl/
68 updates for interrupt support arniml 6697d 23h /t400/trunk/rtl/

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.