OpenCores
URL https://opencores.org/ocsvn/System09/System09/trunk

Subversion Repositories System09

[/] [System09/] [trunk/] [rtl/] [VHDL/] [spi-master.vhd] - Rev 223

Rev

Details | Compare with Previous | Blame

Filtering Options

Clear current filter

Rev Log message Author Age Path
223 -- 0.3 David Burnette 8 April 2021 Added read-back of clk/transfer size
-- as well as a debug tag to confirm
-- read operation
davidgb 1085d 06h /System09/trunk/rtl/VHDL/spi-master.vhd
118 Update components to be compatible with Terasic DE1 implementation dilbert57 4885d 04h /System09/trunk/rtl/VHDL/spi-master.vhd
99 Updates from John. Digilent S3STARTER and XSA-3S1000 work. davidgb 4950d 07h /System09/trunk/rtl/VHDL/spi-master.vhd
66 New directory structure. root 5498d 02h /System09/trunk/rtl/VHDL/spi-master.vhd
65 added new files davidgb 5505d 14h /System09/trunk/rtl/VHDL/spi-master.vhd

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.