OpenCores
URL https://opencores.org/ocsvn/System09/System09/trunk

Subversion Repositories System09

[/] [System09/] [trunk/] [rtl/] [VHDL/] [spi-master.vhd] - Rev 99

Rev

Go to most recent revision | Details | Compare with Previous | Blame

Filtering Options

Clear current filter

Rev Log message Author Age Path
99 Updates from John. Digilent S3STARTER and XSA-3S1000 work. davidgb 4970d 13h /System09/trunk/rtl/VHDL/spi-master.vhd
66 New directory structure. root 5518d 08h /System09/trunk/rtl/VHDL/spi-master.vhd
65 added new files davidgb 5525d 20h /System09/trunk/rtl/VHDL/spi-master.vhd

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.