OpenCores
URL https://opencores.org/ocsvn/altor32/altor32/trunk

Subversion Repositories altor32

[/] [altor32/] - Rev 33

Rev

Go to most recent revision

Filtering Options

Clear current filter

Rev Log message Author Age Path
33 Bug fixes for instruction simulator. ultra_embedded 3758d 05h /altor32/
32 Switch memory interfaces to Wishbone (pipelined).
Various bug fixes and improvements.
ultra_embedded 3758d 05h /altor32/
31 Improvements to the execute stage logic. ultra_embedded 3778d 05h /altor32/
30 Fix verilog issues which break in XST. ultra_embedded 3862d 08h /altor32/
29 Added top level makefile ultra_embedded 3862d 11h /altor32/
28 Added instruction set simulator ultra_embedded 3862d 11h /altor32/
27 Initial drop of AltOR32 v2 ultra_embedded 3863d 04h /altor32/
26 Prepare for new release ultra_embedded 3863d 05h /altor32/
25 Added Papilio Pro (XC6LX9) project.

http://papilio.gadgetfactory.net/index.php?n=Papilio.PapilioPro
ultra_embedded 4058d 06h /altor32/
24 Re-sync from local repository. ultra_embedded 4058d 07h /altor32/
23 - Bootloader code clean-up. ultra_embedded 4296d 08h /altor32/
22 - Added RTOS example project. ultra_embedded 4296d 08h /altor32/
21 - Added RTOS with port for AltOR32. ultra_embedded 4296d 09h /altor32/
20 - Added GPIO peripheral (with interrupt support). ultra_embedded 4296d 11h /altor32/
19 - IRQ_STATUS now reports all interrupts regardless of IRQ_MASK status. ultra_embedded 4296d 11h /altor32/
18 - Fixed sign extension handling of some l.sf**ui instructions. ultra_embedded 4301d 01h /altor32/
17 - Option to specify IRQ vector offset. ultra_embedded 4304d 01h /altor32/
16 - Clean-up. ultra_embedded 4304d 01h /altor32/
15 - Improved peripheral register interface.
- Papilio XC3S250E FPGA project now uses pipelined core @ 32MHz.
ultra_embedded 4304d 08h /altor32/
14 Added initial version of pipelined AltOR32 core. ultra_embedded 4304d 12h /altor32/

1 2 Next >

Show All

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.