OpenCores
URL https://opencores.org/ocsvn/altor32/altor32/trunk

Subversion Repositories altor32

[/] [altor32/] [trunk/] [rtl/] - Rev 41

Rev

Go to most recent revision

Filtering Options

Clear current filter

Rev Log message Author Age Path
40 - Add support for 2 way instruction cache (not yet enabled)
- Bug fixes and tidy up
ultra_embedded 3644d 10h /altor32/trunk/rtl/
39 Bug fix interrupt handling after last update. ultra_embedded 3649d 06h /altor32/trunk/rtl/
37 - Add icarus sim test
- Adopt consistent naming scheme
- Simplify instruction cache
ultra_embedded 3658d 14h /altor32/trunk/rtl/
36 Various performance improvements and bug fixes. ultra_embedded 3664d 03h /altor32/trunk/rtl/
34 Add cutdown non-pipelined version of core. ultra_embedded 3687d 13h /altor32/trunk/rtl/
32 Switch memory interfaces to Wishbone (pipelined).
Various bug fixes and improvements.
ultra_embedded 3757d 07h /altor32/trunk/rtl/
31 Improvements to the execute stage logic. ultra_embedded 3777d 08h /altor32/trunk/rtl/
30 Fix verilog issues which break in XST. ultra_embedded 3861d 11h /altor32/trunk/rtl/
27 Initial drop of AltOR32 v2 ultra_embedded 3862d 07h /altor32/trunk/rtl/

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.