OpenCores
URL https://opencores.org/ocsvn/altor32/altor32/trunk

Subversion Repositories altor32

[/] [altor32/] [trunk] - Rev 45

Rev

Details | Compare with Previous | Blame

Filtering Options

Clear current filter

Rev Log message Author Age Path
45 Fix use before declaration (fails on older ISE variants). ultra_embedded 3561d 08h /altor32/trunk
44 Add single step support ultra_embedded 3609d 12h /altor32/trunk
43 Add GDB stub ultra_embedded 3609d 16h /altor32/trunk
42 - Add some basic drivers ultra_embedded 3623d 15h /altor32/trunk
41 - Add some documentation ultra_embedded 3623d 16h /altor32/trunk
40 - Add support for 2 way instruction cache (not yet enabled)
- Bug fixes and tidy up
ultra_embedded 3623d 16h /altor32/trunk
39 Bug fix interrupt handling after last update. ultra_embedded 3628d 12h /altor32/trunk
38 - Add icarus sim to makefile ultra_embedded 3637d 20h /altor32/trunk
37 - Add icarus sim test
- Adopt consistent naming scheme
- Simplify instruction cache
ultra_embedded 3637d 20h /altor32/trunk
36 Various performance improvements and bug fixes. ultra_embedded 3643d 09h /altor32/trunk
35 Add or1knd-elf built for x86_64 linux. ultra_embedded 3666d 17h /altor32/trunk
34 Add cutdown non-pipelined version of core. ultra_embedded 3666d 19h /altor32/trunk
33 Bug fixes for instruction simulator. ultra_embedded 3736d 13h /altor32/trunk
32 Switch memory interfaces to Wishbone (pipelined).
Various bug fixes and improvements.
ultra_embedded 3736d 13h /altor32/trunk
31 Improvements to the execute stage logic. ultra_embedded 3756d 14h /altor32/trunk
30 Fix verilog issues which break in XST. ultra_embedded 3840d 16h /altor32/trunk
29 Added top level makefile ultra_embedded 3840d 19h /altor32/trunk
28 Added instruction set simulator ultra_embedded 3840d 20h /altor32/trunk
27 Initial drop of AltOR32 v2 ultra_embedded 3841d 13h /altor32/trunk
26 Prepare for new release ultra_embedded 3841d 14h /altor32/trunk

1 2 Next >

Show All

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.