OpenCores
URL https://opencores.org/ocsvn/artificial_neural_network/artificial_neural_network/trunk

Subversion Repositories artificial_neural_network

[/] [artificial_neural_network/] [trunk/] [ANN_kernel/] [RTL_VHDL_files/] - Rev 12

Rev

Go to most recent revision

Filtering Options

Clear current filter

Rev Log message Author Age Path
12 Fixed an error in Matlab scripts jstefanowicz 2568d 02h /artificial_neural_network/trunk/ANN_kernel/RTL_VHDL_files/
11 adder_tree component declaration included in adder_tree.vhd to solve the ghdl compiler error jstefanowicz 2578d 03h /artificial_neural_network/trunk/ANN_kernel/RTL_VHDL_files/
10 Reverted the af_sigmoid files for backward compatibility, and created the af_sigmoid_mat.vhd file based on af_sigmoid2.vhd from revision 9. This activation function is fully compatible with matlabs tansig function. jstefanowicz 2604d 02h /artificial_neural_network/trunk/ANN_kernel/RTL_VHDL_files/
9 Changes from rev 7 included jstefanowicz 2610d 05h /artificial_neural_network/trunk/ANN_kernel/RTL_VHDL_files/
8 test bench added, along with weight and bias initialization and some bug fixes jstefanowicz 2610d 06h /artificial_neural_network/trunk/ANN_kernel/RTL_VHDL_files/
7 ojosynariz 2746d 05h /artificial_neural_network/trunk/ANN_kernel/RTL_VHDL_files/
6 ojosynariz 2876d 01h /artificial_neural_network/trunk/ANN_kernel/RTL_VHDL_files/
3 import documentation and Vivado's wrapper ojosynariz 2876d 06h /artificial_neural_network/trunk/ANN_kernel/RTL_VHDL_files/

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.