OpenCores
URL https://opencores.org/ocsvn/artificial_neural_network/artificial_neural_network/trunk

Subversion Repositories artificial_neural_network

[/] [artificial_neural_network/] [trunk/] [ANN_kernel/] [RTL_VHDL_files/] [af_sigmoid.vhd] - Rev 9

Rev

Go to most recent revision | Details | Compare with Previous | Blame

Filtering Options

Clear current filter

Rev Log message Author Age Path
9 Changes from rev 7 included jstefanowicz 2589d 11h /artificial_neural_network/trunk/ANN_kernel/RTL_VHDL_files/af_sigmoid.vhd
8 test bench added, along with weight and bias initialization and some bug fixes jstefanowicz 2589d 12h /artificial_neural_network/trunk/ANN_kernel/RTL_VHDL_files/af_sigmoid.vhd
3 import documentation and Vivado's wrapper ojosynariz 2855d 12h /artificial_neural_network/trunk/ANN_kernel/RTL_VHDL_files/af_sigmoid.vhd

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.