OpenCores
URL https://opencores.org/ocsvn/artificial_neural_network/artificial_neural_network/trunk

Subversion Repositories artificial_neural_network

[/] - Rev 11

Rev

Go to most recent revision

Filtering Options

Clear current filter

Rev Log message Author Age Path
11 adder_tree component declaration included in adder_tree.vhd to solve the ghdl compiler error jstefanowicz 2557d 04h /
10 Reverted the af_sigmoid files for backward compatibility, and created the af_sigmoid_mat.vhd file based on af_sigmoid2.vhd from revision 9. This activation function is fully compatible with matlabs tansig function. jstefanowicz 2583d 03h /
9 Changes from rev 7 included jstefanowicz 2589d 06h /
8 test bench added, along with weight and bias initialization and some bug fixes jstefanowicz 2589d 07h /
7 ojosynariz 2725d 06h /
6 ojosynariz 2855d 01h /
5 Duplicated ojosynariz 2855d 02h /
4 Duplicated ojosynariz 2855d 02h /
3 import documentation and Vivado's wrapper ojosynariz 2855d 07h /
2 kernel files first import ojosynariz 2855d 07h /
1 The project and the structure was created root 2856d 04h /

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.