OpenCores
URL https://opencores.org/ocsvn/can/can/trunk

Subversion Repositories can

[/] [can/] [trunk/] [bench/] - Rev 161

Rev

Filtering Options

Clear current filter

Rev Log message Author Age Path
161 New directory structure. root 5519d 03h /can/trunk/bench/
160 New tests for testing the bus-off. igorm 6568d 09h /trunk/bench/
158 Fixing overrun problems. igorm 6856d 12h /trunk/bench/
140 I forgot to thange one signal name. igorm 7336d 05h /trunk/bench/
139 Signal bus_off_on added. igorm 7336d 05h /trunk/bench/
130 mbist signals updated according to newest convention markom 7489d 16h /trunk/bench/
127 Fixing the core to be Bosch VHDL Reference compatible. mohor 7506d 01h /trunk/bench/
119 Artisan RAMs added. mohor 7547d 12h /trunk/bench/
83 cs_can_i is used only when WISHBONE interface is not used. mohor 7611d 07h /trunk/bench/
68 CAN inturrupt is active low. mohor 7694d 11h /trunk/bench/
63 ALE changes on negedge of clk. mohor 7706d 03h /trunk/bench/
61 Bidirectional port_0_i changed to port_0_io.
input cs_can changed to cs_can_i.
mohor 7708d 16h /trunk/bench/
60 rd_i and wr_i are active high signals. If 8051 is connected, these two signals
need to be negated one level higher.
mohor 7708d 18h /trunk/bench/
59 8051 interface added (besides WISHBONE interface). Selection is made in
can_defines.v file.
mohor 7708d 18h /trunk/bench/
52 tx_o is now tristated signal. tx_oen and tx_o combined together. mohor 7715d 07h /trunk/bench/
50 Top level signal names changed. mohor 7715d 07h /trunk/bench/
48 Actel APA ram supported. mohor 7718d 23h /trunk/bench/
39 CAN core finished. Host interface added. Registers finished.
Synchronization to the wishbone finished.
mohor 7729d 08h /trunk/bench/
38 Temporary backup version (still fully operable). mohor 7730d 22h /trunk/bench/
37 Define CAN_CLOCK_DIVIDER_MODE not used any more. Deleted. mohor 7730d 22h /trunk/bench/

1 2 Next >

Show All

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.