OpenCores
URL https://opencores.org/ocsvn/ethmac/ethmac/trunk

Subversion Repositories ethmac

[/] - Rev 368

Rev

Filtering Options

Clear current filter

Rev Log message Author Age Path
368 dbg_dat0 only exist when `DEBUG_WISHBONE is set olof 4446d 18h /
367 Remove Wishbone B3 define. For classic wishbone, these ports can just be ignored olof 4509d 15h /
366 Readded eth_top.v with a deprecation warning olof 4633d 19h /
365 Whitespace cleanup olof 4634d 19h /
364 Renamed eth_top.v to ethmac.v to fit better into OpenCores structure olof 4635d 16h /
363 quartus project files unneback 4636d 01h /
362 added Makefiles to build project unneback 4636d 01h /
361 created branch unneback unneback 4636d 01h /
360 Added partial implementation of the debug register from ORPSoC olof 4637d 00h /
359 Verilator linting fixes olof 4639d 02h /
358 Rename do to dato to avoid conflict with SystemVerilog (inherited from Julius Baxter's ORPSoC version olof 4640d 16h /
357 Bit width, assignment and white space fixes by Julius Baxter, inherited from ORPSoC olof 4640d 16h /
356 Rename eth_defines.v to ethmac_defines.v to fit better into OpenCores project structure olof 4640d 18h /
355 Import Julius Baxter's verilator hints from ORPSoC olof 4640d 19h /
354 Whitespace cleanup olof 4640d 19h /
353 Inherit fixes for bit width of constants from ORPSoC olof 4642d 21h /
352 Removed delayed assignments from rtl code olof 4647d 03h /
351 Turn defines into parameters in eth_cop olof 4655d 16h /
350 Turn M[1-2]_ADDRESSED_S[1-2] defines into wires olof 4655d 17h /
349 Make all parameters configurable from top level olof 4656d 18h /
348 Added option to dump VCD files olof 4657d 17h /
347 Added information about running with Icarus Verilog olof 4657d 17h /
346 Updated project location olof 4657d 19h /
345 Temporarily disable failing tests olof 4657d 21h /
344 bit 9 in phy control register is self clearing olof 4663d 23h /
343 Address miss should not be asserted on short frames olof 4667d 19h /
342 Added cast to avoid inequality when comparing different data types olof 4667d 19h /
341 Reset AdressMiss signal on new frames to prevent reporting the old status if new frame is short olof 4667d 19h /
340 Don't fail if log dir already exists olof 4668d 17h /
339 Added basic support for Icarus Verilog olof 4669d 16h /

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.