OpenCores
URL https://opencores.org/ocsvn/i2c/i2c/trunk

Subversion Repositories i2c

[/] [i2c/] [trunk/] - Rev 70

Rev

Go to most recent revision | Details | Compare with Previous | Blame

Filtering Options

Clear current filter

Rev Log message Author Age Path
68 New directory structure. root 5497d 19h /i2c/trunk
67 Fixed slave_wait clocked event syntax rherveille 5530d 21h /trunk
66 Fixed type iscl_oen instead of scl_oen rherveille 5545d 20h /trunk
65 Changed wb_adr_i from unsigned to std_logic_vector rherveille 5546d 07h /trunk
64 Added SCL clock synchronization logic
Fixed slave_wait signal generation
rherveille 5546d 07h /trunk
63 Added clock synchronization logic
Fixed slave_wait signal
rherveille 5546d 07h /trunk
62 Fixed synopsys miss spell (synopsis)
Fixed cr[0] register width
Fixed ! usage instead of ~
Fixed bit controller parameter width to 18bits
rherveille 5546d 21h /trunk
61 Removed synopsys link; it's not used rherveille 6201d 08h /trunk
60 Added missing semicolons ';' on endif rherveille 6378d 05h /trunk
59 fixed short scl high pulse after clock stretch rherveille 6383d 06h /trunk
58 fixed (n)ack generation rherveille 6415d 08h /trunk
57 fixed short scl high pulse after clock stretch
fixed slave model not returning correct '(n)ack' signal
rherveille 6415d 08h /trunk
56 Fixed Tsu:sta timing check.
Added Thd:sta timing check.
rherveille 6968d 06h /trunk
55 Fixed register overwrite issue.
Removed full_case pragma, replaced it by a default statement.
rherveille 6969d 08h /trunk
54 Fixed scl, sda delay. rherveille 6969d 08h /trunk
53 Fixed previous fix :) Made a variable vs signal mistake. rherveille 7265d 05h /trunk
52 Fixed a bug where the core would signal an arbitration lost (AL bit set), when another master controls the bus and the other master generates a STOP bit. rherveille 7265d 06h /trunk
51 Fixed simulation issue when writing to CR register rherveille 7319d 07h /trunk
50 *** empty log message *** rherveille 7334d 01h /trunk
49 Added testbench rherveille 7334d 02h /trunk

1 2 Next >

Show All

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.