OpenCores
URL https://opencores.org/ocsvn/i2c/i2c/trunk

Subversion Repositories i2c

[/] [i2c/] [trunk/] [rtl/] [vhdl/] [i2c_master_bit_ctrl.vhd] - Rev 71

Rev

Go to most recent revision | Details | Compare with Previous | Blame

Filtering Options

Clear current filter

Rev Log message Author Age Path
68 New directory structure. root 5519d 01h /i2c/trunk/rtl/vhdl/i2c_master_bit_ctrl.vhd
67 Fixed slave_wait clocked event syntax rherveille 5552d 03h /i2c/trunk/rtl/vhdl/i2c_master_bit_ctrl.vhd
66 Fixed type iscl_oen instead of scl_oen rherveille 5567d 03h /i2c/trunk/rtl/vhdl/i2c_master_bit_ctrl.vhd
64 Added SCL clock synchronization logic
Fixed slave_wait signal generation
rherveille 5567d 13h /i2c/trunk/rtl/vhdl/i2c_master_bit_ctrl.vhd
60 Added missing semicolons ';' on endif rherveille 6399d 11h /i2c/trunk/rtl/vhdl/i2c_master_bit_ctrl.vhd
59 fixed short scl high pulse after clock stretch rherveille 6404d 13h /i2c/trunk/rtl/vhdl/i2c_master_bit_ctrl.vhd
53 Fixed previous fix :) Made a variable vs signal mistake. rherveille 7286d 12h /i2c/trunk/rtl/vhdl/i2c_master_bit_ctrl.vhd
52 Fixed a bug where the core would signal an arbitration lost (AL bit set), when another master controls the bus and the other master generates a STOP bit. rherveille 7286d 12h /i2c/trunk/rtl/vhdl/i2c_master_bit_ctrl.vhd
48 Fixed a bug in the arbitration-lost signal generation. VHDL version only. rherveille 7356d 16h /i2c/trunk/rtl/vhdl/i2c_master_bit_ctrl.vhd
39 Forgot an 'end if' :-/ rherveille 7555d 09h /i2c/trunk/rtl/vhdl/i2c_master_bit_ctrl.vhd
38 Fixed a bug in the Arbitration Lost generation caused by delay on the (external) sda line.
Fixed a potential bug in the byte controller's host-acknowledge generation.
rherveille 7558d 16h /i2c/trunk/rtl/vhdl/i2c_master_bit_ctrl.vhd
35 Fixed a bug where the core would trigger an erroneous 'arbitration lost' interrupt after being reset, when the reset pulse width < 3 clk cycles. rherveille 7743d 23h /i2c/trunk/rtl/vhdl/i2c_master_bit_ctrl.vhd
34 Fixed a few 'arbitration lost' bugs. VHDL version only. rherveille 7747d 21h /i2c/trunk/rtl/vhdl/i2c_master_bit_ctrl.vhd
31 Core is now a Multimaster I2C controller. rherveille 7784d 07h /i2c/trunk/rtl/vhdl/i2c_master_bit_ctrl.vhd
27 Cleaned up code rherveille 7810d 01h /i2c/trunk/rtl/vhdl/i2c_master_bit_ctrl.vhd
24 Fixed some reported minor start/stop generation timing issuess. rherveille 7841d 05h /i2c/trunk/rtl/vhdl/i2c_master_bit_ctrl.vhd
22 Fixed a small timing bug in the bit controller.\nAdded verilog simulation environment. rherveille 7978d 16h /i2c/trunk/rtl/vhdl/i2c_master_bit_ctrl.vhd
15 Split i2c_master_core.vhd into separate files for each entity; same layout as verilog version.
Code updated, is now up-to-date to doc. rev.0.4.
Added headers.
rherveille 8200d 11h /i2c/trunk/rtl/vhdl/i2c_master_bit_ctrl.vhd

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.