OpenCores
URL https://opencores.org/ocsvn/i2c/i2c/trunk

Subversion Repositories i2c

[/] - Rev 61

Rev

Go to most recent revision

Filtering Options

Clear current filter

Rev Log message Author Age Path
41 This commit was manufactured by cvs2svn to create tag 'asyst_2'. 7536d 15h /
40 Fix a blocking vs. non-blocking error in the wb_dat output mux. rherveille 7536d 15h /
39 Forgot an 'end if' :-/ rherveille 7556d 11h /
38 Fixed a bug in the Arbitration Lost generation caused by delay on the (external) sda line.
Fixed a potential bug in the byte controller's host-acknowledge generation.
rherveille 7559d 19h /
37 Fixed a type in example 1
Changed 'RW' to 'W' in command register description.
Changed 'RW' to 'W' in transmit register description.
rherveille 7596d 10h /
36 Fixed cmd_ack generation item (no bug). rherveille 7711d 11h /
35 Fixed a bug where the core would trigger an erroneous 'arbitration lost' interrupt after being reset, when the reset pulse width < 3 clk cycles. rherveille 7745d 02h /
34 Fixed a few 'arbitration lost' bugs. VHDL version only. rherveille 7749d 00h /
33 Fixed a bug in the Command Register declaration. rherveille 7771d 09h /
32 Multi-master capabilities added to the core. Changed documentation accordingly.
Updated some timing diagrams.
rherveille 7781d 09h /

< Prev 1 2

Show All

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.