OpenCores
URL https://opencores.org/ocsvn/mod_sim_exp/mod_sim_exp/trunk

Subversion Repositories mod_sim_exp

[/] [mod_sim_exp/] [trunk/] - Rev 13

Rev

Go to most recent revision

Filtering Options

Clear current filter

Rev Log message Author Age Path
13 added some descriptive comments and added check for incorrect value's of width and block_width.
File is now according to OC design rules
JonasDC 4174d 07h /mod_sim_exp/trunk/
12 updated comments, file is now completely according to design rules JonasDC 4174d 07h /mod_sim_exp/trunk/
11 simulation output folder JonasDC 4174d 09h /mod_sim_exp/trunk/
10 changed signal input port names to correct name JonasDC 4174d 12h /mod_sim_exp/trunk/
9 added descriptive comments, and renamed input mux_result from cell_1b_adder to b for a more generic multipurpose code
also renamed output s from n_adder to r, to keep same signal names
JonasDC 4174d 12h /mod_sim_exp/trunk/
8 added descriptive comments JonasDC 4174d 14h /mod_sim_exp/trunk/
7 Modified the architecture, no longer uses Xilinx primitive, instead generic instantiation
added descriptive comments
JonasDC 4174d 14h /mod_sim_exp/trunk/
6 Modified the architecture, no longer uses Xilinx primitive, instead generic instantiation
added descriptive comments
JonasDC 4174d 15h /mod_sim_exp/trunk/
5 not needed on svn, is generated by testbench JonasDC 4174d 15h /mod_sim_exp/trunk/
4 Modified the architecture, no longer uses Xilinx primitive, instead generic instantiation
added descriptive comments
JonasDC 4174d 17h /mod_sim_exp/trunk/
3 updated vhdl sources with new header according to OC design rules and formated code
added makefile and simulation input file for testbench simulation
JonasDC 4175d 07h /mod_sim_exp/trunk/
2 First version of VHDL source(working), still contains xilinx primitives and needs to be updated to the OpenCores design rules.. JonasDC 4179d 12h /mod_sim_exp/trunk/
1 The project and the structure was created root 4181d 12h /mod_sim_exp/trunk/

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.