OpenCores
URL https://opencores.org/ocsvn/spacewire_light/spacewire_light/trunk

Subversion Repositories spacewire_light

[/] [spacewire_light/] [trunk/] [bench/] - Rev 12

Rev

Filtering Options

Clear current filter

Rev Log message Author Age Path
12 * Support 64-bit wide AHB bus in SPWAMBA.
Many thanks to Tam Do for reporting this issue.
* Update SPWAMBA to GRLIB-1.2.2.
jorisvr 3981d 03h /spacewire_light/trunk/bench/
11 spwrecvfront_generic.vhd: Correctly handle situation where incoming Data/Strobe signals are not '0' at the beginning of the link setup.
Many thanks to Goekce Aydos for reporting this bug.
jorisvr 4070d 03h /spacewire_light/trunk/bench/
7 * spwamba: Add tick_out signal.
* spwrecvfront_fast: Simplified data transfer between clock domains.
* Clean up synchronization of data paths between clock domains.
* Upgrade to GRLIB 1.1.0.
jorisvr 4794d 05h /spacewire_light/trunk/bench/
5 * Add AMBA interface (preliminary version, untested, undocumented).
* License changed from LGPL to GPL.
* Again fix an issue with EEP injection on link loss.
* Add DATAPATHONLY keyword to timing constraints.
jorisvr 4937d 04h /spacewire_light/trunk/bench/
3 * Added manual.

* Fix incorrect bitrate during link handshake. The system clock frequency was used to compute the initial tx clock divider, even when the clock divider would act on the txclk instead of the system clock.

* Improve fast transmitter. Sending FCT tokens at high bit rate no longer causes insertion of a NULL token.
jorisvr 5008d 04h /spacewire_light/trunk/bench/
2 Initial check-in. jorisvr 5042d 09h /spacewire_light/trunk/bench/

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.