OpenCores
URL https://opencores.org/ocsvn/spacewire_light/spacewire_light/trunk

Subversion Repositories spacewire_light

[/] [spacewire_light/] [trunk/] [sw] - Rev 10

Rev

Details | Compare with Previous | Blame

Filtering Options

Clear current filter

Rev Log message Author Age Path
10 * Fix incorrect "hsize" indication on AHB access. jorisvr 4613d 04h /spacewire_light/trunk/sw
9 * spwamba_test.c: Increase delay loops for fast LEON3.
* spwltest.c: Allow smaller user-defined block size.
* spwltest.c: Skip unnecessary steps of autotest.
* Change license terms to allow distribution of non-GRLIB subset under LGPL.
jorisvr 4645d 05h /spacewire_light/trunk/sw
6 * Add RTEMS driver and test program for SPWAMBA.
* Add documentation for SPWAMBA.
* spwamba: Change TX FIFO management; start new transfer when there is room
for a maximum burst instead of aiming for 3/4 fill rate.
* spwamba: Do not reset spwxmit in response to software reset.
* spwamba: Fix bug in maximum burst size calculation.
* spwamba: Optimize address generation in burst state machine.
* spwamba: More careful calculation of RX credit.
jorisvr 4864d 11h /spacewire_light/trunk/sw
5 * Add AMBA interface (preliminary version, untested, undocumented).
* License changed from LGPL to GPL.
* Again fix an issue with EEP injection on link loss.
* Add DATAPATHONLY keyword to timing constraints.
jorisvr 4937d 04h /spacewire_light/trunk/sw

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.