OpenCores
URL https://opencores.org/ocsvn/spacewire_light/spacewire_light/trunk

Subversion Repositories spacewire_light

[/] [spacewire_light/] [trunk/] [syn/] [spwamba_gr-xc3s1500] - Rev 12

Rev

Details | Compare with Previous | Blame

Filtering Options

Clear current filter

Rev Log message Author Age Path
12 * Support 64-bit wide AHB bus in SPWAMBA.
Many thanks to Tam Do for reporting this issue.
* Update SPWAMBA to GRLIB-1.2.2.
jorisvr 3980d 13h /spacewire_light/trunk/syn/spwamba_gr-xc3s1500
7 * spwamba: Add tick_out signal.
* spwrecvfront_fast: Simplified data transfer between clock domains.
* Clean up synchronization of data paths between clock domains.
* Upgrade to GRLIB 1.1.0.
jorisvr 4793d 14h /spacewire_light/trunk/syn/spwamba_gr-xc3s1500
6 * Add RTEMS driver and test program for SPWAMBA.
* Add documentation for SPWAMBA.
* spwamba: Change TX FIFO management; start new transfer when there is room
for a maximum burst instead of aiming for 3/4 fill rate.
* spwamba: Do not reset spwxmit in response to software reset.
* spwamba: Fix bug in maximum burst size calculation.
* spwamba: Optimize address generation in burst state machine.
* spwamba: More careful calculation of RX credit.
jorisvr 4863d 21h /spacewire_light/trunk/syn/spwamba_gr-xc3s1500
5 * Add AMBA interface (preliminary version, untested, undocumented).
* License changed from LGPL to GPL.
* Again fix an issue with EEP injection on link loss.
* Add DATAPATHONLY keyword to timing constraints.
jorisvr 4936d 14h /spacewire_light/trunk/syn/spwamba_gr-xc3s1500

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.