OpenCores
URL https://opencores.org/ocsvn/uart2bus/uart2bus/trunk

Subversion Repositories uart2bus

[/] [uart2bus/] [trunk/] [vhdl/] [rtl/] - Rev 13

Rev

Filtering Options

Clear current filter

Rev Log message Author Age Path
13 VHDL version:
- Add GHDL support for automated testbenches.
- Migrate to ieee.numeric_std.
- Reorganize a little bit the test benches.
smuller 2980d 03h /uart2bus/trunk/vhdl/rtl/
11 VHDL version:
- Add a request-grant mechanism. This will permit to use it on a shared bus without any bus contention.
- Improve the test benches.
- Automate the launching of test benches.
- Fix a bug in 'uartRx.vhd'.
- Reorganize a little bit the directory structure.
smuller 4437d 22h /uart2bus/trunk/vhdl/rtl/
10 VHDL version: corrected problems in the UART modules that prevented it to operate with 1 stop bit with high data rate. smuller 4529d 20h /uart2bus/trunk/vhdl/rtl/
6 Commit VHDL description source with basic test benches smuller 5023d 06h /uart2bus/trunk/vhdl/rtl/
5 Add structure for VHDL (verilog similar tree). smuller 5034d 23h /uart2bus/trunk/vhdl/rtl/

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.