OpenCores
URL https://opencores.org/ocsvn/uart_fpga_slow_control_migrated/uart_fpga_slow_control_migrated/trunk

Subversion Repositories uart_fpga_slow_control_migrated

[/] - Rev 34

Rev

Filtering Options

Clear current filter

Rev Log message Author Age Path
34 ADDED: Philips_PC16550dn_datasheet.pdf aborga 4388d 05h /
33 UPDATE: visio simplified diagram aborga 4527d 22h /
32 ADDED: OpenCores_description_html.txt aborga 4528d 21h /
31 ADDED: html version of the different site section back-upped in txt format aborga 4528d 23h /
30 MODIFIED: cosmetic changes on the SoftwareFolder.txt file aborga 4529d 00h /
29 UPDATED: project documentation for the new software features aborga 4529d 00h /
28 ADDED: software folder with python script (simple but stable) and .bat file to load RealTerm with parameters (extremely unstable) aborga 4529d 01h /
27 MODIFIED: small description improvement aborga 4536d 01h /
26 ADDED: screenshot of the simulation output with tb_uart_control.vhd (project tested with modelsim 6) aborga 4609d 22h /
25 MODIFIED: small comment improvement aborga 4610d 00h /
24 UPDATED: added folder testbenches with a generic tb_UART_control.vhd testbench aborga 4610d 01h /
23 MODIFIED:

renamed lantronix_input and lantronix_output (historical)
to uart_din and uart_dout for consistency

propagated also changes in all comments
aborga 4610d 02h /
22 aborga 4610d 03h /
21 MODIFIED:

renamed lantronix_input and lantronix_output (historical)
to uart_din and uart_dout for consistency

propagated also changes in all comments
aborga 4610d 03h /
20 MODIFIED: block diagram with new namings for uart din and dout aborga 4610d 03h /
19 MODIFIED:

renamed lantronix_input and lantronix_output (historical)
to uart_din and uart_dout for consistency

propagated also changes in all comments
aborga 4610d 03h /
18 MODIFIED: removed unnecessary libraries aborga 4611d 00h /
17 DELETED: useless package folder aborga 4611d 01h /
16 MODIFIED: added

uart_rst_i : in std_logic;
uart_leds_o : out std_logic_vector(7 downto 0);

in the entity declaration
aborga 4611d 01h /
15 UPDATED: email address aborga 4613d 00h /

1 2 Next >

Show All

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.