OpenCores
URL https://opencores.org/ocsvn/versatile_library/versatile_library/trunk

Subversion Repositories versatile_library

[/] - Rev 50

Rev

Go to most recent revision

Filtering Options

Clear current filter

Rev Log message Author Age Path
50 added WB_B4RAM with byte enable unneback 4714d 00h /
49 added WB_B4RAM with byte enable unneback 4714d 00h /
48 wb updated unneback 4720d 18h /
47 added help program for LFSR counters unneback 4815d 21h /
46 updated parity unneback 4816d 22h /
45 updated timing in io models unneback 4818d 16h /
44 added target independet IO functionns unneback 4821d 16h /
43 added logic for parity generation and check unneback 4825d 19h /
42 updated mux_andor unneback 4829d 19h /
41 typo in registers.v unneback 4829d 21h /
40 new build environment with custom.v added as a result file unneback 4829d 21h /
39 added simple port prio based wb arbiter unneback 4830d 18h /
38 updated andor mux unneback 4830d 18h /
37 corrected polynom with length 20 unneback 4836d 14h /
36 added generic andor_mux unneback 4837d 23h /
35 added vl_mux2_andor and vl_mux3_andor localparam unneback 4838d 10h /
34 added vl_mux2_andor and vl_mux3_andor unneback 4838d 10h /
33 updated wb3wb3_bridge unneback 4851d 12h /
32 added vl_pll for ALTERA (cycloneIII) unneback 4858d 22h /
31 sync FIFO updated unneback 4878d 18h /
30 updated counter for level1 and level2 function unneback 4878d 18h /
29 updated counter for level1 and level2 function unneback 4878d 18h /
28 added sync simplex FIFO unneback 4879d 19h /
27 added sync simplex FIFO unneback 4879d 19h /
26 typo in sync FIFO, added cnt_lfsr_ce_q cnt_lfsr_ce_clear_q unneback 4879d 21h /
25 added sync FIFO unneback 4880d 10h /
24 added vl_dff_ce_set unneback 4881d 18h /
23 fixed port map error in async fifo 1r1w unneback 4882d 08h /
22 added binary counters unneback 4882d 14h /
21 reg -> wire in and or mux in logic unneback 4883d 10h /

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.