OpenCores
URL https://opencores.org/ocsvn/versatile_library/versatile_library/trunk

Subversion Repositories versatile_library

[/] - Rev 64

Rev

Go to most recent revision

Filtering Options

Clear current filter

Rev Log message Author Age Path
64 SPR reset value unneback 4673d 17h /
63 WB_B3_RAM_BE updates, bte port map + define dependency unneback 4673d 17h /
62 WB_B3_RAM_BE updates, bte port map + define dependency unneback 4673d 17h /
61 WB_B3_RAM_BE updates, bte port map + define dependency unneback 4673d 17h /
60 added wb b3 byte enable memory, added test in makefile through icarus, typo in latch fixed unneback 4675d 13h /
59 added WB RAM B3 with byte enable unneback 4676d 13h /
58 corrected EXT unit, rewrite of FF1, FL1 unneback 4692d 19h /
57 corrected EXT unit, rewrite of FF1, FL1 unneback 4692d 19h /
56 WB B4 RAM we fix unneback 4705d 12h /
55 added WB_B4RAM with byte enable unneback 4707d 19h /
54 added WB_B4RAM with byte enable unneback 4707d 19h /
53 added WB_B4RAM with byte enable unneback 4707d 19h /
52 added WB_B4RAM with byte enable unneback 4707d 19h /
51 added WB_B4RAM with byte enable unneback 4707d 19h /
50 added WB_B4RAM with byte enable unneback 4707d 19h /
49 added WB_B4RAM with byte enable unneback 4707d 19h /
48 wb updated unneback 4714d 13h /
47 added help program for LFSR counters unneback 4809d 16h /
46 updated parity unneback 4810d 18h /
45 updated timing in io models unneback 4812d 12h /
44 added target independet IO functionns unneback 4815d 12h /
43 added logic for parity generation and check unneback 4819d 15h /
42 updated mux_andor unneback 4823d 15h /
41 typo in registers.v unneback 4823d 16h /
40 new build environment with custom.v added as a result file unneback 4823d 17h /
39 added simple port prio based wb arbiter unneback 4824d 14h /
38 updated andor mux unneback 4824d 14h /
37 corrected polynom with length 20 unneback 4830d 10h /
36 added generic andor_mux unneback 4831d 19h /
35 added vl_mux2_andor and vl_mux3_andor localparam unneback 4832d 06h /

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.