OpenCores
URL https://opencores.org/ocsvn/versatile_library/versatile_library/trunk

Subversion Repositories versatile_library

[/] [versatile_library/] [trunk/] [rtl/] - Rev 42

Rev

Go to most recent revision

Filtering Options

Clear current filter

Rev Log message Author Age Path
42 updated mux_andor unneback 4802d 02h /versatile_library/trunk/rtl/
41 typo in registers.v unneback 4802d 03h /versatile_library/trunk/rtl/
40 new build environment with custom.v added as a result file unneback 4802d 04h /versatile_library/trunk/rtl/
39 added simple port prio based wb arbiter unneback 4803d 01h /versatile_library/trunk/rtl/
38 updated andor mux unneback 4803d 01h /versatile_library/trunk/rtl/
37 corrected polynom with length 20 unneback 4808d 21h /versatile_library/trunk/rtl/
36 added generic andor_mux unneback 4810d 05h /versatile_library/trunk/rtl/
35 added vl_mux2_andor and vl_mux3_andor localparam unneback 4810d 17h /versatile_library/trunk/rtl/
34 added vl_mux2_andor and vl_mux3_andor unneback 4810d 17h /versatile_library/trunk/rtl/
33 updated wb3wb3_bridge unneback 4823d 19h /versatile_library/trunk/rtl/
32 added vl_pll for ALTERA (cycloneIII) unneback 4831d 05h /versatile_library/trunk/rtl/
31 sync FIFO updated unneback 4851d 00h /versatile_library/trunk/rtl/
30 updated counter for level1 and level2 function unneback 4851d 00h /versatile_library/trunk/rtl/
29 updated counter for level1 and level2 function unneback 4851d 00h /versatile_library/trunk/rtl/
28 added sync simplex FIFO unneback 4852d 02h /versatile_library/trunk/rtl/
27 added sync simplex FIFO unneback 4852d 02h /versatile_library/trunk/rtl/
26 typo in sync FIFO, added cnt_lfsr_ce_q cnt_lfsr_ce_clear_q unneback 4852d 03h /versatile_library/trunk/rtl/
25 added sync FIFO unneback 4852d 17h /versatile_library/trunk/rtl/
24 added vl_dff_ce_set unneback 4854d 00h /versatile_library/trunk/rtl/
23 fixed port map error in async fifo 1r1w unneback 4854d 15h /versatile_library/trunk/rtl/

1 2 Next >

Show All

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.