OpenCores
URL https://opencores.org/ocsvn/versatile_library/versatile_library/trunk

Subversion Repositories versatile_library

[/] [versatile_library/] [trunk/] [rtl/] [verilog/] - Rev 25

Rev

Go to most recent revision

Filtering Options

Clear current filter

Rev Log message Author Age Path
25 added sync FIFO unneback 4874d 23h /versatile_library/trunk/rtl/verilog/
24 added vl_dff_ce_set unneback 4876d 07h /versatile_library/trunk/rtl/verilog/
23 fixed port map error in async fifo 1r1w unneback 4876d 21h /versatile_library/trunk/rtl/verilog/
22 added binary counters unneback 4877d 03h /versatile_library/trunk/rtl/verilog/
21 reg -> wire in and or mux in logic unneback 4877d 23h /versatile_library/trunk/rtl/verilog/
18 naming convention vl_ unneback 4879d 10h /versatile_library/trunk/rtl/verilog/
17 unneback 4942d 23h /versatile_library/trunk/rtl/verilog/
15 added delay line unneback 4949d 07h /versatile_library/trunk/rtl/verilog/
14 reg -> wire for various signals unneback 4949d 12h /versatile_library/trunk/rtl/verilog/
13 cosmetic update unneback 4949d 14h /versatile_library/trunk/rtl/verilog/
12 added wishbone comliant modules unneback 4950d 10h /versatile_library/trunk/rtl/verilog/
11 async fifo simplex unneback 4951d 01h /versatile_library/trunk/rtl/verilog/
10 added dff_ce_clear unneback 4952d 23h /versatile_library/trunk/rtl/verilog/
8 added dff_ce_clear unneback 4953d 00h /versatile_library/trunk/rtl/verilog/
7 mem update unneback 4953d 00h /versatile_library/trunk/rtl/verilog/
6 added library files unneback 4966d 01h /versatile_library/trunk/rtl/verilog/
5 memories added unneback 4966d 01h /versatile_library/trunk/rtl/verilog/
4 added counters unneback 4970d 05h /versatile_library/trunk/rtl/verilog/
3 various updates
counter added
unneback 4973d 00h /versatile_library/trunk/rtl/verilog/
2 initial check-in unneback 4974d 01h /versatile_library/trunk/rtl/verilog/

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.