OpenCores
URL https://opencores.org/ocsvn/versatile_library/versatile_library/trunk

Subversion Repositories versatile_library

[/] - Rev 50

Rev

Go to most recent revision

Filtering Options

Clear current filter

Rev Log message Author Age Path
50 added WB_B4RAM with byte enable unneback 4705d 11h /
49 added WB_B4RAM with byte enable unneback 4705d 11h /
48 wb updated unneback 4712d 05h /
47 added help program for LFSR counters unneback 4807d 08h /
46 updated parity unneback 4808d 10h /
45 updated timing in io models unneback 4810d 04h /
44 added target independet IO functionns unneback 4813d 04h /
43 added logic for parity generation and check unneback 4817d 07h /
42 updated mux_andor unneback 4821d 07h /
41 typo in registers.v unneback 4821d 08h /
40 new build environment with custom.v added as a result file unneback 4821d 08h /
39 added simple port prio based wb arbiter unneback 4822d 05h /
38 updated andor mux unneback 4822d 05h /
37 corrected polynom with length 20 unneback 4828d 02h /
36 added generic andor_mux unneback 4829d 10h /
35 added vl_mux2_andor and vl_mux3_andor localparam unneback 4829d 21h /
34 added vl_mux2_andor and vl_mux3_andor unneback 4829d 22h /
33 updated wb3wb3_bridge unneback 4843d 00h /
32 added vl_pll for ALTERA (cycloneIII) unneback 4850d 09h /
31 sync FIFO updated unneback 4870d 05h /
30 updated counter for level1 and level2 function unneback 4870d 05h /
29 updated counter for level1 and level2 function unneback 4870d 05h /
28 added sync simplex FIFO unneback 4871d 07h /
27 added sync simplex FIFO unneback 4871d 07h /
26 typo in sync FIFO, added cnt_lfsr_ce_q cnt_lfsr_ce_clear_q unneback 4871d 08h /
25 added sync FIFO unneback 4871d 21h /
24 added vl_dff_ce_set unneback 4873d 05h /
23 fixed port map error in async fifo 1r1w unneback 4873d 20h /
22 added binary counters unneback 4874d 01h /
21 reg -> wire in and or mux in logic unneback 4874d 21h /

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.