OpenCores
URL https://opencores.org/ocsvn/vhdl_wb_tb/vhdl_wb_tb/trunk

Subversion Repositories vhdl_wb_tb

[/] [vhdl_wb_tb/] [trunk/] [rtl/] [vhdl/] [packages/] [wishbone_pkg.vhd] - Rev 25

Rev

Details | Compare with Previous | Blame

Filtering Options

Clear current filter

Rev Log message Author Age Path
25 changed default value for wb address to avoid warnings with to_integer in address decoders sinx 2064d 07h /vhdl_wb_tb/trunk/rtl/vhdl/packages/wishbone_pkg.vhd
22 added wb_slave_out_idle_c, wb_master_in_idle_c and wb_slave_in_idle_c sinx 2066d 07h /vhdl_wb_tb/trunk/rtl/vhdl/packages/wishbone_pkg.vhd
14 added keyword expansion to vhdl files sinx 2076d 03h /vhdl_wb_tb/trunk/rtl/vhdl/packages/wishbone_pkg.vhd
4 minor refacturation
updated file header descriptions
sinx 2077d 08h /vhdl_wb_tb/trunk/rtl/vhdl/packages/wishbone_pkg.vhd
2 inital version sinx 2078d 01h /vhdl_wb_tb/trunk/rtl/vhdl/packages/wishbone_pkg.vhd

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.