OpenCores
URL https://opencores.org/ocsvn/System09/System09/trunk

Subversion Repositories System09

[/] [System09/] [trunk/] [rtl/] [System09_Digilent_Atlys/] - Rev 169

Rev

Directory listing | View Log | Compare with Previous | RSS feed

Last modification

  • Rev 169, 2021-01-19 19:48:06 GMT
  • Author: davidgb
  • Log message:
    Fixed clock connection and reset button polarity. Debug LED counting and cpu_clk now indicates some activity.

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.