OpenCores
URL https://opencores.org/ocsvn/a-z80/a-z80/trunk

Subversion Repositories a-z80

[/] [a-z80/] [trunk/] - Rev 13

Rev

Directory listing | View Log | Compare with Previous | RSS feed

Last modification

  • Rev 13, 2016-12-09 07:38:06 GMT
  • Author: gdevic
  • Log message:
    Full support for nWAIT during M1 and memory cycles
    This set of changes fixes issues with nWAIT signal
    Updated reg control, resets, ir modules to handle delay
    This correctly delays clearing of IR if the nWAIT was asserted at
    the very first M1 cycle
    Simplify decode_state to use discrete write enable for CB/ED flags
    Gate CB/ED write with hold_clk_wait to enable nWAIT delay
    genfuse add code to test nWAIT insertion at M1
    Add host wait state circuitry from Zilog manual (wait_state.*)
    Add wait test code to basic fpga/modelsim models
    Select from 3 options to test wait states:
    - no wait state inserted (nWAIT=1)
    - insert a wait state to every M1 cycle
    - insert a wait state to each memory access cycle
    zxspectrum: Add custom NMI handler and a function to enter game pokes after pressing the NMI button
    zxspectrum: Corrected bits 7,5 when reading ULA port 254
    zxspectrum: Fix the shift key repeat bug
    When using additional keys (<,>,?,...) and shift was released before
    a symbol, it would repeat. This change fixes that by correctly resetting
    keyboard mask state bitfields.
    Added new data pins module for Lattice toolset
    It has been reported that "data_pins.v" does not compile on Lattice
    toolset and this variation of the code has been proposed and verified
    by a user.
    Fixed M1 during reset
    Memory_ifc module set M1 to inactive (high) during nRESET
    Exported wait_m1 signal from that module as a testpoint
Path
/a-z80/trunk/cpu/bus/data_pins_lattice.v
/a-z80/trunk/cpu/control/decode_state.bdf
/a-z80/trunk/cpu/control/decode_state.bsf
/a-z80/trunk/cpu/control/decode_state.v
/a-z80/trunk/cpu/control/execute.bsf
/a-z80/trunk/cpu/control/execute.v
/a-z80/trunk/cpu/control/exec_matrix.vh
/a-z80/trunk/cpu/control/exec_matrix_compiled.vh
/a-z80/trunk/cpu/control/exec_module.vh
/a-z80/trunk/cpu/control/exec_zero.vh
/a-z80/trunk/cpu/control/gencompile.py
/a-z80/trunk/cpu/control/ir.bdf
/a-z80/trunk/cpu/control/ir.bsf
/a-z80/trunk/cpu/control/ir.v
/a-z80/trunk/cpu/control/memory_ifc.bdf
/a-z80/trunk/cpu/control/memory_ifc.bsf
/a-z80/trunk/cpu/control/memory_ifc.v
/a-z80/trunk/cpu/control/resets.bdf
/a-z80/trunk/cpu/control/resets.bsf
/a-z80/trunk/cpu/control/resets.v
/a-z80/trunk/cpu/control/simulation/modelsim/wave_reset.do
/a-z80/trunk/cpu/control/test_reset.sv
/a-z80/trunk/cpu/control/timing_macros.i
/a-z80/trunk/cpu/export.py
/a-z80/trunk/cpu/registers/reg_control.bdf
/a-z80/trunk/cpu/registers/reg_control.bsf
/a-z80/trunk/cpu/registers/reg_control.v
/a-z80/trunk/cpu/registers/test_registers.sv
/a-z80/trunk/cpu/top-level-files.txt
/a-z80/trunk/cpu/toplevel/coremodules.vh
/a-z80/trunk/cpu/toplevel/genfuse.py
/a-z80/trunk/cpu/toplevel/globals.vh
/a-z80/trunk/cpu/toplevel/simulation/modelsim/wave_fuse.do
/a-z80/trunk/cpu/toplevel/simulation/modelsim/wave_top.do
/a-z80/trunk/cpu/toplevel/test_fuse.vh
/a-z80/trunk/host/basic_de1/basic_de1.qsf
/a-z80/trunk/host/basic_de1/basic_de1_fpga.sv
/a-z80/trunk/host/basic_de1/basic_de1_ModelSim.sv
/a-z80/trunk/host/basic_de1/simulation/modelsim/test_host.mpf
/a-z80/trunk/host/basic_de1/simulation/modelsim/wave_host.do
/a-z80/trunk/host/common/wait_state.bdf
/a-z80/trunk/host/common/wait_state.bsf
/a-z80/trunk/host/common/wait_state.v
/a-z80/trunk/host/zxspectrum_de1/rom/combined.rom
/a-z80/trunk/host/zxspectrum_de1/ula/ula.sv
/a-z80/trunk/host/zxspectrum_de1/ula/zx_kbd.sv

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.