OpenCores
URL https://opencores.org/ocsvn/galois_lfsr/galois_lfsr/trunk

Subversion Repositories galois_lfsr

[/] [galois_lfsr/] [trunk/] - Rev 5

Rev

Directory listing | View Log | Compare with Previous | RSS feed

Last modification

  • Rev 5, 2013-08-10 05:13:50 GMT
  • Author: daniel.kho
  • Log message:
    [minor] Removed misleading comment. Used 'high instead of 'length-1 for more clarity.

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.