OpenCores
URL https://opencores.org/ocsvn/uart2bus/uart2bus/trunk

Subversion Repositories uart2bus

[/] [uart2bus/] [trunk/] [vhdl/] - Rev 10

Rev

Directory listing | View Log | Compare with Previous | RSS feed

Last modification

  • Rev 10, 2011-11-23 21:11:00 GMT
  • Author: smuller
  • Log message:
    VHDL version: corrected problems in the UART modules that prevented it to operate with 1 stop bit with high data rate.

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.