OpenCores
URL https://opencores.org/ocsvn/System09/System09/trunk

Error creating feed file, please check write permissions.
System09 WebSVN RSS feed - System09 https://opencores.org/websvn//websvn/listing?repname=System09&path=%2FSystem09%2Ftrunk%2F& Thu, 28 Mar 2024 22:58:53 +0100 FeedCreator 1.7.2 Make Xilinx *.xst file parsing to extract target device info ... https://opencores.org/websvn//websvn/revision?repname=System09&path=%2FSystem09%2Ftrunk%2F&rev=147 <div><strong>Rev 147 - davidgb</strong> (1 file(s) modified)</div><div>Make Xilinx *.xst file parsing to extract target device info ...</div>~ /System09/trunk/mkfiles/xilinx_rules.mk<br /> davidgb Wed, 20 May 2020 14:36:38 +0100 https://opencores.org/websvn//websvn/revision?repname=System09&path=%2FSystem09%2Ftrunk%2F&rev=147 ... https://opencores.org/websvn//websvn/revision?repname=System09&path=%2FSystem09%2Ftrunk%2F&rev=146 <div><strong>Rev 146 - davidgb</strong> (6 file(s) modified)</div><div>...</div>+ /System09/trunk/rtl/System09_Digilent_Atlys/atlys.ucf<br />~ /System09/trunk/rtl/System09_Digilent_Atlys/system09.gise<br />~ /System09/trunk/rtl/System09_Digilent_Atlys/system09.prj<br />~ /System09/trunk/rtl/System09_Digilent_Atlys/system09.xise<br />~ /System09/trunk/rtl/System09_Digilent_Atlys/system09.xst<br />+ /System09/trunk/rtl/System09_Digilent_Atlys/System09_Atlys.vhd<br /> davidgb Tue, 19 May 2020 02:11:45 +0100 https://opencores.org/websvn//websvn/revision?repname=System09&path=%2FSystem09%2Ftrunk%2F&rev=146 Adding ISE 14.7 project file https://opencores.org/websvn//websvn/revision?repname=System09&path=%2FSystem09%2Ftrunk%2F&rev=145 <div><strong>Rev 145 - davidgb</strong> (1 file(s) modified)</div><div>Adding ISE 14.7 project file</div>+ /System09/trunk/rtl/System09_Xess_XSA-3S1000/system09.xise<br /> davidgb Tue, 19 May 2020 01:05:43 +0100 https://opencores.org/websvn//websvn/revision?repname=System09&path=%2FSystem09%2Ftrunk%2F&rev=145 Change top assembly file to include .asm files instead of ... https://opencores.org/websvn//websvn/revision?repname=System09&path=%2FSystem09%2Ftrunk%2F&rev=144 <div><strong>Rev 144 - davidgb</strong> (1 file(s) modified)</div><div>Change top assembly file to include .asm files instead of ...</div>~ /System09/trunk/src/Flex9/flex9ide.asm<br /> davidgb Wed, 13 May 2020 21:34:10 +0100 https://opencores.org/websvn//websvn/revision?repname=System09&path=%2FSystem09%2Ftrunk%2F&rev=144 Turn on assembler listing output generation https://opencores.org/websvn//websvn/revision?repname=System09&path=%2FSystem09%2Ftrunk%2F&rev=143 <div><strong>Rev 143 - davidgb</strong> (1 file(s) modified)</div><div>Turn on assembler listing output generation</div>~ /System09/trunk/mkfiles/def_rules.mk<br /> davidgb Tue, 28 Apr 2020 21:28:28 +0100 https://opencores.org/websvn//websvn/revision?repname=System09&path=%2FSystem09%2Ftrunk%2F&rev=143 Minor improvements to error messaging https://opencores.org/websvn//websvn/revision?repname=System09&path=%2FSystem09%2Ftrunk%2F&rev=142 <div><strong>Rev 142 - davidgb</strong> (2 file(s) modified)</div><div>Minor improvements to error messaging</div>~ /System09/trunk/Tools/as09/as.c<br />~ /System09/trunk/Tools/as09/eval.c<br /> davidgb Tue, 28 Apr 2020 21:26:02 +0100 https://opencores.org/websvn//websvn/revision?repname=System09&path=%2FSystem09%2Ftrunk%2F&rev=142 Started work on version for Digilent Atlys SPARTAN6 board (does ... https://opencores.org/websvn//websvn/revision?repname=System09&path=%2FSystem09%2Ftrunk%2F&rev=141 <div><strong>Rev 141 - davidgb</strong> (13 file(s) modified)</div><div>Started work on version for Digilent Atlys SPARTAN6 board (does ...</div>+ /System09/trunk/rtl/System09_Digilent_Atlys<br />+ /System09/trunk/rtl/System09_Digilent_Atlys/common.vhd<br />+ /System09/trunk/rtl/System09_Digilent_Atlys/Makefile<br />+ /System09/trunk/rtl/System09_Digilent_Atlys/sdramcntl.vhd<br />+ /System09/trunk/rtl/System09_Digilent_Atlys/system09.gise<br />+ /System09/trunk/rtl/System09_Digilent_Atlys/system09.lso<br />+ /System09/trunk/rtl/System09_Digilent_Atlys/system09.prj<br />+ /System09/trunk/rtl/System09_Digilent_Atlys/system09.ucf<br />+ /System09/trunk/rtl/System09_Digilent_Atlys/system09.ut<br />+ /System09/trunk/rtl/System09_Digilent_Atlys/system09.vhd<br />+ /System09/trunk/rtl/System09_Digilent_Atlys/system09.xise<br />+ /System09/trunk/rtl/System09_Digilent_Atlys/system09.xst<br />+ /System09/trunk/rtl/System09_Digilent_Atlys/xsasdramcntl.vhd<br /> davidgb Tue, 14 Apr 2020 22:07:23 +0100 https://opencores.org/websvn//websvn/revision?repname=System09&path=%2FSystem09%2Ftrunk%2F&rev=141 Removed unused file. Removed dos format https://opencores.org/websvn//websvn/revision?repname=System09&path=%2FSystem09%2Ftrunk%2F&rev=140 <div><strong>Rev 140 - davidgb</strong> (2 file(s) modified)</div><div>Removed unused file. Removed dos format</div>- /System09/trunk/rtl/System09_base/my_system09.vhd<br />~ /System09/trunk/rtl/System09_base/system09.vhd<br /> davidgb Tue, 14 Apr 2020 21:11:24 +0100 https://opencores.org/websvn//websvn/revision?repname=System09&path=%2FSystem09%2Ftrunk%2F&rev=140 format https://opencores.org/websvn//websvn/revision?repname=System09&path=%2FSystem09%2Ftrunk%2F&rev=139 <div><strong>Rev 139 - davidgb</strong> (1 file(s) modified)</div><div>format</div>~ /System09/trunk/rtl/VHDL/acia6850.vhd<br /> davidgb Tue, 14 Apr 2020 20:02:05 +0100 https://opencores.org/websvn//websvn/revision?repname=System09&path=%2FSystem09%2Ftrunk%2F&rev=139 Remove DOS format https://opencores.org/websvn//websvn/revision?repname=System09&path=%2FSystem09%2Ftrunk%2F&rev=138 <div><strong>Rev 138 - davidgb</strong> (1 file(s) modified)</div><div>Remove DOS format</div>~ /System09/trunk/rtl/VHDL/acia6850.vhd<br /> davidgb Tue, 14 Apr 2020 19:48:53 +0100 https://opencores.org/websvn//websvn/revision?repname=System09&path=%2FSystem09%2Ftrunk%2F&rev=138 Work on base version https://opencores.org/websvn//websvn/revision?repname=System09&path=%2FSystem09%2Ftrunk%2F&rev=137 <div><strong>Rev 137 - davidgb</strong> (2 file(s) modified)</div><div>Work on base version</div>~ /System09/trunk/rtl/System09_base/Makefile<br />~ /System09/trunk/rtl/System09_base/system09.vhd<br /> davidgb Tue, 14 Apr 2020 19:41:36 +0100 https://opencores.org/websvn//websvn/revision?repname=System09&path=%2FSystem09%2Ftrunk%2F&rev=137 Fix typo https://opencores.org/websvn//websvn/revision?repname=System09&path=%2FSystem09%2Ftrunk%2F&rev=136 <div><strong>Rev 136 - davidgb</strong> (1 file(s) modified)</div><div>Fix typo</div>~ /System09/trunk/rtl/System09_Xess_XSA-3S1000/Makefile<br /> davidgb Tue, 14 Apr 2020 19:35:34 +0100 https://opencores.org/websvn//websvn/revision?repname=System09&path=%2FSystem09%2Ftrunk%2F&rev=136 Remove additional files on clean https://opencores.org/websvn//websvn/revision?repname=System09&path=%2FSystem09%2Ftrunk%2F&rev=135 <div><strong>Rev 135 - davidgb</strong> (1 file(s) modified)</div><div>Remove additional files on clean</div>~ /System09/trunk/rtl/System09_Xess_XSA-3S1000/Makefile<br /> davidgb Tue, 14 Apr 2020 19:34:45 +0100 https://opencores.org/websvn//websvn/revision?repname=System09&path=%2FSystem09%2Ftrunk%2F&rev=135 Clean up formatting https://opencores.org/websvn//websvn/revision?repname=System09&path=%2FSystem09%2Ftrunk%2F&rev=134 <div><strong>Rev 134 - davidgb</strong> (1 file(s) modified)</div><div>Clean up formatting</div>~ /System09/trunk/rtl/System09_Xess_XSA-3S1000/System09_Xess_XSA-3S1000.vhd<br /> davidgb Tue, 14 Apr 2020 19:32:55 +0100 https://opencores.org/websvn//websvn/revision?repname=System09&path=%2FSystem09%2Ftrunk%2F&rev=134 Re-starting project to make generic SWTPC base system https://opencores.org/websvn//websvn/revision?repname=System09&path=%2FSystem09%2Ftrunk%2F&rev=133 <div><strong>Rev 133 - davidgb</strong> (3 file(s) modified)</div><div>Re-starting project to make generic SWTPC base system</div>~ /System09/trunk/src/sys09bug/Makefile<br />+ /System09/trunk/src/sys09bug/sys09nul.asm<br />~ /System09/trunk/src/sys09bug/sys09swt.asm<br /> davidgb Tue, 14 Apr 2020 01:17:57 +0100 https://opencores.org/websvn//websvn/revision?repname=System09&path=%2FSystem09%2Ftrunk%2F&rev=133 Re-starting project to make generics SWTPC 6809 base system https://opencores.org/websvn//websvn/revision?repname=System09&path=%2FSystem09%2Ftrunk%2F&rev=132 <div><strong>Rev 132 - davidgb</strong> (2 file(s) modified)</div><div>Re-starting project to make generics SWTPC 6809 base system</div>~ /System09/trunk/rtl/System09_base/Makefile<br />~ /System09/trunk/rtl/System09_base/system09.prj<br /> davidgb Tue, 14 Apr 2020 01:17:10 +0100 https://opencores.org/websvn//websvn/revision?repname=System09&path=%2FSystem09%2Ftrunk%2F&rev=132 Add -f to rm command to prevent bogus error https://opencores.org/websvn//websvn/revision?repname=System09&path=%2FSystem09%2Ftrunk%2F&rev=131 <div><strong>Rev 131 - davidgb</strong> (1 file(s) modified)</div><div>Add -f to rm command to prevent bogus error</div>~ /System09/trunk/Tools/as09/Makefile<br /> davidgb Tue, 14 Apr 2020 00:20:22 +0100 https://opencores.org/websvn//websvn/revision?repname=System09&path=%2FSystem09%2Ftrunk%2F&rev=131 updated cpus &amp; mul/div https://opencores.org/websvn//websvn/revision?repname=System09&path=%2FSystem09%2Ftrunk%2F&rev=130 <div><strong>Rev 130 - dilbert57</strong> (13 file(s) modified)</div><div>updated cpus &amp; mul/div</div>~ /System09/trunk/rtl/VHDL/cpu09l.vhd<br />+ /System09/trunk/rtl/VHDL/cpu09m.vhd<br />+ /System09/trunk/rtl/VHDL/cpu09n.vhd<br />- /System09/trunk/rtl/VHDL/cpu09new.vhd<br />- /System09/trunk/rtl/VHDL/cpu09_dummy_firq.vhd<br />+ /System09/trunk/rtl/VHDL/crtc6845.vhd<br />+ /System09/trunk/rtl/VHDL/divs32_s2.vhd<br />+ /System09/trunk/rtl/VHDL/divu32.vhd<br />+ /System09/trunk/rtl/VHDL/divu32_s2.vhd<br />+ /System09/trunk/rtl/VHDL/muls32_s2.vhd<br />+ /System09/trunk/rtl/VHDL/mulu32_s2.vhd<br />- /System09/trunk/rtl/VHDL/quadcpu09.vhd<br />- /System09/trunk/rtl/VHDL/unicpu09.vhd<br /> dilbert57 Sun, 13 May 2018 05:03:47 +0100 https://opencores.org/websvn//websvn/revision?repname=System09&path=%2FSystem09%2Ftrunk%2F&rev=130 Update for ISE 14.7 https://opencores.org/websvn//websvn/revision?repname=System09&path=%2FSystem09%2Ftrunk%2F&rev=129 <div><strong>Rev 129 - davidgb</strong> (1 file(s) modified)</div><div>Update for ISE 14.7</div>~ /System09/trunk/rtl/System09_Xess_XSA-3S1000/system09.ut<br /> davidgb Sun, 18 Feb 2018 23:46:58 +0100 https://opencores.org/websvn//websvn/revision?repname=System09&path=%2FSystem09%2Ftrunk%2F&rev=129 Restarted work on making a &quot;base&quot; system09 system with minimal ... https://opencores.org/websvn//websvn/revision?repname=System09&path=%2FSystem09%2Ftrunk%2F&rev=128 <div><strong>Rev 128 - davidgb</strong> (14 file(s) modified)</div><div>Restarted work on making a &quot;base&quot; system09 system with minimal ...</div>~ /System09/trunk/rtl/System09_base/Makefile<br />- /System09/trunk/rtl/System09_base/my_system09.ise<br />- /System09/trunk/rtl/System09_base/my_system09.ucf<br />- /System09/trunk/rtl/System09_base/my_system09.ut<br />~ /System09/trunk/rtl/System09_base/sdramcntl.vhd<br />+ /System09/trunk/rtl/System09_base/system09.gise<br />+ /System09/trunk/rtl/System09_base/system09.lso<br />+ /System09/trunk/rtl/System09_base/system09.prj<br />+ /System09/trunk/rtl/System09_base/system09.ucf<br />+ /System09/trunk/rtl/System09_base/system09.ut<br />+ /System09/trunk/rtl/System09_base/system09.vhd<br />+ /System09/trunk/rtl/System09_base/system09.xise<br />+ /System09/trunk/rtl/System09_base/system09.xst<br />~ /System09/trunk/rtl/System09_base/xsasdramcntl.vhd<br /> davidgb Sun, 18 Feb 2018 23:30:06 +0100 https://opencores.org/websvn//websvn/revision?repname=System09&path=%2FSystem09%2Ftrunk%2F&rev=128
© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.