OpenCores
URL https://opencores.org/ocsvn/artificial_neural_network/artificial_neural_network/trunk

Error creating feed file, please check write permissions.
artificial_neural_network WebSVN RSS feed - artificial_neural_network https://opencores.org/websvn//websvn/listing?repname=artificial_neural_network&path=%2Fartificial_neural_network%2F& Thu, 28 Mar 2024 23:56:24 +0100 FeedCreator 1.7.2 Corrected bug on instantiation of shift-register with parallel unload ... https://opencores.org/websvn//websvn/revision?repname=artificial_neural_network&path=%2Fartificial_neural_network%2F&rev=13 <div><strong>Rev 13 - ojosynariz</strong> (1 file(s) modified)</div><div>Corrected bug on instantiation of shift-register with parallel unload (&quot;parallelizer&quot;)</div>~ /artificial_neural_network/trunk/ANN_kernel/RTL_VHDL_files/ann.vhd<br /> ojosynariz Fri, 28 Apr 2017 17:06:10 +0100 https://opencores.org/websvn//websvn/revision?repname=artificial_neural_network&path=%2Fartificial_neural_network%2F&rev=13 Fixed an error in Matlab scripts https://opencores.org/websvn//websvn/revision?repname=artificial_neural_network&path=%2Fartificial_neural_network%2F&rev=12 <div><strong>Rev 12 - jstefanowicz</strong> (2 file(s) modified)</div><div>Fixed an error in Matlab scripts</div>~ /artificial_neural_network/trunk/ANN_kernel/RTL_VHDL_files/support_pkg.vhd<br />~ /artificial_neural_network/trunk/test_bench/octave/wb_gen.m<br /> jstefanowicz Fri, 07 Apr 2017 15:34:09 +0100 https://opencores.org/websvn//websvn/revision?repname=artificial_neural_network&path=%2Fartificial_neural_network%2F&rev=12 adder_tree component declaration included in adder_tree.vhd to solve the ghdl ... https://opencores.org/websvn//websvn/revision?repname=artificial_neural_network&path=%2Fartificial_neural_network%2F&rev=11 <div><strong>Rev 11 - jstefanowicz</strong> (3 file(s) modified)</div><div>adder_tree component declaration included in adder_tree.vhd to solve the ghdl ...</div>~ /artificial_neural_network/trunk/ANN_kernel/RTL_VHDL_files/activation_function.vhd<br />~ /artificial_neural_network/trunk/ANN_kernel/RTL_VHDL_files/adder_tree.vhd<br />~ /artificial_neural_network/trunk/test_bench/makefile<br /> jstefanowicz Tue, 28 Mar 2017 14:12:43 +0100 https://opencores.org/websvn//websvn/revision?repname=artificial_neural_network&path=%2Fartificial_neural_network%2F&rev=11 Reverted the af_sigmoid files for backward compatibility, and created the ... https://opencores.org/websvn//websvn/revision?repname=artificial_neural_network&path=%2Fartificial_neural_network%2F&rev=10 <div><strong>Rev 10 - jstefanowicz</strong> (7 file(s) modified)</div><div>Reverted the af_sigmoid files for backward compatibility, and created the ...</div>~ /artificial_neural_network/trunk/ANN_kernel/RTL_VHDL_files/activation_function.vhd<br />~ /artificial_neural_network/trunk/ANN_kernel/RTL_VHDL_files/af_sigmoid.vhd<br />~ /artificial_neural_network/trunk/ANN_kernel/RTL_VHDL_files/af_sigmoid2.vhd<br />+ /artificial_neural_network/trunk/ANN_kernel/RTL_VHDL_files/af_sigmoid_mat.vhd<br />~ /artificial_neural_network/trunk/ANN_kernel/RTL_VHDL_files/layerPS_top.vhd<br />~ /artificial_neural_network/trunk/ANN_kernel/RTL_VHDL_files/layerSP_top.vhd<br />~ /artificial_neural_network/trunk/ANN_kernel/RTL_VHDL_files/support_pkg.vhd<br /> jstefanowicz Thu, 02 Mar 2017 15:18:38 +0100 https://opencores.org/websvn//websvn/revision?repname=artificial_neural_network&path=%2Fartificial_neural_network%2F&rev=10 Changes from rev 7 included https://opencores.org/websvn//websvn/revision?repname=artificial_neural_network&path=%2Fartificial_neural_network%2F&rev=9 <div><strong>Rev 9 - jstefanowicz</strong> (5 file(s) modified)</div><div>Changes from rev 7 included</div>~ /artificial_neural_network/trunk/ANN_kernel/RTL_VHDL_files/activation_function.vhd<br />~ /artificial_neural_network/trunk/ANN_kernel/RTL_VHDL_files/adder_tree.vhd<br />~ /artificial_neural_network/trunk/ANN_kernel/RTL_VHDL_files/af_sigmoid.vhd<br />~ /artificial_neural_network/trunk/ANN_kernel/RTL_VHDL_files/layerPS_top.vhd<br />~ /artificial_neural_network/trunk/ANN_kernel/RTL_VHDL_files/layers_pkg.vhd<br /> jstefanowicz Fri, 24 Feb 2017 12:03:47 +0100 https://opencores.org/websvn//websvn/revision?repname=artificial_neural_network&path=%2Fartificial_neural_network%2F&rev=9 test bench added, along with weight and bias initialization and ... https://opencores.org/websvn//websvn/revision?repname=artificial_neural_network&path=%2Fartificial_neural_network%2F&rev=8 <div><strong>Rev 8 - jstefanowicz</strong> (25 file(s) modified)</div><div>test bench added, along with weight and bias initialization and ...</div>~ /artificial_neural_network/trunk/ANN_kernel/RTL_VHDL_files/activation_function.vhd<br />~ /artificial_neural_network/trunk/ANN_kernel/RTL_VHDL_files/adder_tree.vhd<br />~ /artificial_neural_network/trunk/ANN_kernel/RTL_VHDL_files/af_sigmoid.vhd<br />~ /artificial_neural_network/trunk/ANN_kernel/RTL_VHDL_files/af_sigmoid2.vhd<br />~ /artificial_neural_network/trunk/ANN_kernel/RTL_VHDL_files/ann.vhd<br />~ /artificial_neural_network/trunk/ANN_kernel/RTL_VHDL_files/layerPS_top.vhd<br />~ /artificial_neural_network/trunk/ANN_kernel/RTL_VHDL_files/layerSP_top.vhd<br />~ /artificial_neural_network/trunk/ANN_kernel/RTL_VHDL_files/layers_pkg.vhd<br />+ /artificial_neural_network/trunk/ANN_kernel/RTL_VHDL_files/support_pkg.vhd<br />+ /artificial_neural_network/trunk/ANN_kernel/RTL_VHDL_files/wb_init.vhd<br />+ /artificial_neural_network/trunk/test_bench<br />+ /artificial_neural_network/trunk/test_bench/comp<br />+ /artificial_neural_network/trunk/test_bench/data_in.txt<br />+ /artificial_neural_network/trunk/test_bench/data_out_oct.txt<br />+ /artificial_neural_network/trunk/test_bench/data_out_tb.txt<br />+ /artificial_neural_network/trunk/test_bench/makefile<br />+ /artificial_neural_network/trunk/test_bench/octave<br />+ /artificial_neural_network/trunk/test_bench/octave/getwbc.m<br />+ /artificial_neural_network/trunk/test_bench/octave/max_dim.m<br />+ /artificial_neural_network/trunk/test_bench/octave/nn_ex.m<br />+ /artificial_neural_network/trunk/test_bench/octave/wb_gen.m<br />+ /artificial_neural_network/trunk/test_bench/run.sh<br />+ /artificial_neural_network/trunk/test_bench/show_res.m<br />+ /artificial_neural_network/trunk/test_bench/src<br />+ /artificial_neural_network/trunk/test_bench/src/ann_tb.vhd<br /> jstefanowicz Fri, 24 Feb 2017 11:19:53 +0100 https://opencores.org/websvn//websvn/revision?repname=artificial_neural_network&path=%2Fartificial_neural_network%2F&rev=8 ... https://opencores.org/websvn//websvn/revision?repname=artificial_neural_network&path=%2Fartificial_neural_network%2F&rev=7 <div><strong>Rev 7 - ojosynariz</strong> (1 file(s) modified)</div><div>...</div>~ /artificial_neural_network/trunk/ANN_kernel/RTL_VHDL_files/layers_pkg.vhd<br /> ojosynariz Tue, 11 Oct 2016 12:18:17 +0100 https://opencores.org/websvn//websvn/revision?repname=artificial_neural_network&path=%2Fartificial_neural_network%2F&rev=7 ... https://opencores.org/websvn//websvn/revision?repname=artificial_neural_network&path=%2Fartificial_neural_network%2F&rev=6 <div><strong>Rev 6 - ojosynariz</strong> (1 file(s) modified)</div><div>...</div>~ /artificial_neural_network/trunk/ANN_kernel/RTL_VHDL_files/ann.vhd<br /> ojosynariz Fri, 03 Jun 2016 16:25:05 +0100 https://opencores.org/websvn//websvn/revision?repname=artificial_neural_network&path=%2Fartificial_neural_network%2F&rev=6 Duplicated https://opencores.org/websvn//websvn/revision?repname=artificial_neural_network&path=%2Fartificial_neural_network%2F&rev=5 <div><strong>Rev 5 - ojosynariz</strong> (1 file(s) modified)</div><div>Duplicated</div>- /artificial_neural_network/trunk/RTL_VHDL_files<br /> ojosynariz Fri, 03 Jun 2016 16:20:11 +0100 https://opencores.org/websvn//websvn/revision?repname=artificial_neural_network&path=%2Fartificial_neural_network%2F&rev=5 Duplicated https://opencores.org/websvn//websvn/revision?repname=artificial_neural_network&path=%2Fartificial_neural_network%2F&rev=4 <div><strong>Rev 4 - ojosynariz</strong> (1 file(s) modified)</div><div>Duplicated</div>- /artificial_neural_network/trunk/API_header_files<br /> ojosynariz Fri, 03 Jun 2016 16:18:16 +0100 https://opencores.org/websvn//websvn/revision?repname=artificial_neural_network&path=%2Fartificial_neural_network%2F&rev=4 import documentation and Vivado's wrapper https://opencores.org/websvn//websvn/revision?repname=artificial_neural_network&path=%2Fartificial_neural_network%2F&rev=3 <div><strong>Rev 3 - ojosynariz</strong> (26 file(s) modified)</div><div>import documentation and Vivado's wrapper</div>+ /artificial_neural_network/trunk/ANN_kernel<br />+ /artificial_neural_network/trunk/ANN_kernel/API_header_files<br />+ /artificial_neural_network/trunk/ANN_kernel/API_header_files/ann.h<br />+ /artificial_neural_network/trunk/ANN_kernel/RTL_VHDL_files<br />+ /artificial_neural_network/trunk/ANN_kernel/RTL_VHDL_files/activation_function.vhd<br />+ /artificial_neural_network/trunk/ANN_kernel/RTL_VHDL_files/adder_tree.vhd<br />+ /artificial_neural_network/trunk/ANN_kernel/RTL_VHDL_files/af_sigmoid.vhd<br />+ /artificial_neural_network/trunk/ANN_kernel/RTL_VHDL_files/af_sigmoid2.vhd<br />+ /artificial_neural_network/trunk/ANN_kernel/RTL_VHDL_files/af_template.vhd<br />+ /artificial_neural_network/trunk/ANN_kernel/RTL_VHDL_files/ann.vhd<br />+ /artificial_neural_network/trunk/ANN_kernel/RTL_VHDL_files/layerPS.vhd<br />+ /artificial_neural_network/trunk/ANN_kernel/RTL_VHDL_files/layerPS_top.vhd<br />+ /artificial_neural_network/trunk/ANN_kernel/RTL_VHDL_files/layerSP.vhd<br />+ /artificial_neural_network/trunk/ANN_kernel/RTL_VHDL_files/layerSP_top.vhd<br />+ /artificial_neural_network/trunk/ANN_kernel/RTL_VHDL_files/layers_pkg.vhd<br />+ /artificial_neural_network/trunk/ANN_kernel/RTL_VHDL_files/mac.vhd<br />+ /artificial_neural_network/trunk/ANN_kernel/RTL_VHDL_files/shiftreg_pl.vhd<br />+ /artificial_neural_network/trunk/ANN_kernel/RTL_VHDL_files/shiftreg_pu.vhd<br />+ /artificial_neural_network/trunk/Documentation<br />+ /artificial_neural_network/trunk/Documentation/ANN_specification.pdf<br />+ /artificial_neural_network/trunk/wrapper_Vivado<br />+ /artificial_neural_network/trunk/wrapper_Vivado/VHDL_files<br />+ /artificial_neural_network/trunk/wrapper_Vivado/VHDL_files/ann_v2_0.vhd<br />+ /artificial_neural_network/trunk/wrapper_Vivado/VHDL_files/ann_v2_0_Inputs_S_AXIS.vhd<br />+ /artificial_neural_network/trunk/wrapper_Vivado/VHDL_files/ann_v2_0_Outputs_M_AXIS.vhd<br />+ /artificial_neural_network/trunk/wrapper_Vivado/VHDL_files/ann_v2_0_Wyb_S_AXI.vhd<br /> ojosynariz Fri, 03 Jun 2016 10:44:27 +0100 https://opencores.org/websvn//websvn/revision?repname=artificial_neural_network&path=%2Fartificial_neural_network%2F&rev=3 kernel files first import https://opencores.org/websvn//websvn/revision?repname=artificial_neural_network&path=%2Fartificial_neural_network%2F&rev=2 <div><strong>Rev 2 - ojosynariz</strong> (17 file(s) modified)</div><div>kernel files first import</div>+ /artificial_neural_network/trunk/API_header_files<br />+ /artificial_neural_network/trunk/API_header_files/ann.h<br />+ /artificial_neural_network/trunk/RTL_VHDL_files<br />+ /artificial_neural_network/trunk/RTL_VHDL_files/activation_function.vhd<br />+ /artificial_neural_network/trunk/RTL_VHDL_files/adder_tree.vhd<br />+ /artificial_neural_network/trunk/RTL_VHDL_files/af_sigmoid.vhd<br />+ /artificial_neural_network/trunk/RTL_VHDL_files/af_sigmoid2.vhd<br />+ /artificial_neural_network/trunk/RTL_VHDL_files/af_template.vhd<br />+ /artificial_neural_network/trunk/RTL_VHDL_files/ann.vhd<br />+ /artificial_neural_network/trunk/RTL_VHDL_files/layerPS.vhd<br />+ /artificial_neural_network/trunk/RTL_VHDL_files/layerPS_top.vhd<br />+ /artificial_neural_network/trunk/RTL_VHDL_files/layerSP.vhd<br />+ /artificial_neural_network/trunk/RTL_VHDL_files/layerSP_top.vhd<br />+ /artificial_neural_network/trunk/RTL_VHDL_files/layers_pkg.vhd<br />+ /artificial_neural_network/trunk/RTL_VHDL_files/mac.vhd<br />+ /artificial_neural_network/trunk/RTL_VHDL_files/shiftreg_pl.vhd<br />+ /artificial_neural_network/trunk/RTL_VHDL_files/shiftreg_pu.vhd<br /> ojosynariz Fri, 03 Jun 2016 10:29:44 +0100 https://opencores.org/websvn//websvn/revision?repname=artificial_neural_network&path=%2Fartificial_neural_network%2F&rev=2 The project and the structure was created https://opencores.org/websvn//websvn/revision?repname=artificial_neural_network&path=%2Fartificial_neural_network%2F&rev=1 <div><strong>Rev 1 - root</strong> (4 file(s) modified)</div><div>The project and the structure was created</div>+ /artificial_neural_network<br />+ /artificial_neural_network/branches<br />+ /artificial_neural_network/tags<br />+ /artificial_neural_network/trunk<br /> root Thu, 02 Jun 2016 13:45:03 +0100 https://opencores.org/websvn//websvn/revision?repname=artificial_neural_network&path=%2Fartificial_neural_network%2F&rev=1
© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.