OpenCores
URL https://opencores.org/ocsvn/avs_aes/avs_aes/trunk

Error creating feed file, please check write permissions.
avs_aes WebSVN RSS feed - avs_aes https://opencores.org/websvn//websvn/listing?repname=avs_aes&path=%2Favs_aes%2Ftrunk%2Frtl%2F& Fri, 29 Mar 2024 09:30:31 +0100 FeedCreator 1.7.2 Added Keywords https://opencores.org/websvn//websvn/revision?repname=avs_aes&path=%2Favs_aes%2Ftrunk%2Frtl%2F&rev=20 <div><strong>Rev 20 - ruschi</strong> (19 file(s) modified)</div><div>Added Keywords</div>~ /avs_aes/trunk/rtl/VHDL/addroundkey.vhd<br />~ /avs_aes/trunk/rtl/VHDL/aes_core.vhd<br />~ /avs_aes/trunk/rtl/VHDL/aes_fsm_decrypt.vhd<br />~ /avs_aes/trunk/rtl/VHDL/aes_fsm_encrypt.vhd<br />~ /avs_aes/trunk/rtl/VHDL/avs_aes.vhd<br />~ /avs_aes/trunk/rtl/VHDL/avs_aes_pkg.vhd<br />~ /avs_aes/trunk/rtl/VHDL/keyexpansionV2.vhd<br />~ /avs_aes/trunk/rtl/VHDL/memory_word.vhd<br />~ /avs_aes/trunk/rtl/VHDL/mixcol.vhd<br />~ /avs_aes/trunk/rtl/VHDL/mixcol_fwd.vhd<br />~ /avs_aes/trunk/rtl/VHDL/mixcol_inv.vhd<br />~ /avs_aes/trunk/rtl/VHDL/mux2.vhd<br />~ /avs_aes/trunk/rtl/VHDL/mux3.vhd<br />~ /avs_aes/trunk/rtl/VHDL/sbox.vhd<br />~ /avs_aes/trunk/rtl/VHDL/sboxM4k.vhd<br />~ /avs_aes/trunk/rtl/VHDL/sbox_arch1.vhd<br />~ /avs_aes/trunk/rtl/VHDL/shiftrow.vhd<br />~ /avs_aes/trunk/rtl/VHDL/shiftrow_fwd.vhd<br />~ /avs_aes/trunk/rtl/VHDL/shiftrow_inv.vhd<br /> ruschi Sun, 15 May 2011 11:37:43 +0100 https://opencores.org/websvn//websvn/revision?repname=avs_aes&path=%2Favs_aes%2Ftrunk%2Frtl%2F&rev=20 major overhaul to apply for the opencores star https://opencores.org/websvn//websvn/revision?repname=avs_aes&path=%2Favs_aes%2Ftrunk%2Frtl%2F&rev=11 <div><strong>Rev 11 - ruschi</strong> (128 file(s) modified)</div><div>major overhaul to apply for the opencores star</div>+ /avs_aes/trunk/bench<br />+ /avs_aes/trunk/bench/VHDL<br />+ /avs_aes/trunk/bench/VHDL/avs_aes_tb.vhd<br />- /avs_aes/trunk/doc/acronym.tex<br />- /avs_aes/trunk/doc/avs_aes.dvi<br />- /avs_aes/trunk/doc/avs_aes.pdf<br />- /avs_aes/trunk/doc/avs_aes.tex<br />- /avs_aes/trunk/doc/cited.bib<br />- /avs_aes/trunk/doc/figs<br />- /avs_aes/trunk/doc/glossary.tex<br />+ /avs_aes/trunk/doc/manual<br />+ /avs_aes/trunk/doc/manual/src<br />+ /avs_aes/trunk/doc/manual/src/acronym.tex<br />+ /avs_aes/trunk/doc/manual/src/avs_aes.acn<br />+ /avs_aes/trunk/doc/manual/src/avs_aes.acr<br />+ /avs_aes/trunk/doc/manual/src/avs_aes.bbl<br />+ /avs_aes/trunk/doc/manual/src/avs_aes.blg<br />+ /avs_aes/trunk/doc/manual/src/avs_aes.glo<br />+ /avs_aes/trunk/doc/manual/src/avs_aes.gls<br />+ /avs_aes/trunk/doc/manual/src/avs_aes.ilg<br />+ /avs_aes/trunk/doc/manual/src/avs_aes.ist<br />+ /avs_aes/trunk/doc/manual/src/avs_aes.pdf<br />+ /avs_aes/trunk/doc/manual/src/avs_aes.tex<br />+ /avs_aes/trunk/doc/manual/src/avs_aes.toc<br />+ /avs_aes/trunk/doc/manual/src/cited.bib<br />+ /avs_aes/trunk/doc/manual/src/figs<br />+ /avs_aes/trunk/doc/manual/src/figs/CoreEncDP.eps<br />+ /avs_aes/trunk/doc/manual/src/figs/CoreEncDP.pdf<br />+ /avs_aes/trunk/doc/manual/src/figs/CoreEncDP.svg<br />+ /avs_aes/trunk/doc/manual/src/figs/encrypt_FSM.dot<br />+ /avs_aes/trunk/doc/manual/src/figs/encrypt_FSM.eps<br />+ /avs_aes/trunk/doc/manual/src/figs/encrypt_FSM.jpg<br />+ /avs_aes/trunk/doc/manual/src/figs/fancy_headsep.svg<br />+ /avs_aes/trunk/doc/manual/src/figs/fancy_headsep_r.eps<br />+ /avs_aes/trunk/doc/manual/src/figs/fancy_headsep_r.jpg<br />+ /avs_aes/trunk/doc/manual/src/figs/logo.eps<br />+ /avs_aes/trunk/doc/manual/src/figs/logo.jpg<br />+ /avs_aes/trunk/doc/manual/src/glossary.tex<br />+ /avs_aes/trunk/doc/manual/src/ruschidoc.cls<br />+ /avs_aes/trunk/doc/manual/src/sfmath.sty<br />- /avs_aes/trunk/doc/ruschidoc.cls<br />- /avs_aes/trunk/doc/sfmath.sty<br />+ /avs_aes/trunk/doc/sw<br />+ /avs_aes/trunk/doc/sw/html<br />+ /avs_aes/trunk/doc/sw/html/AEStester_8c.html<br />+ /avs_aes/trunk/doc/sw/html/AEStester_8c_source.html<br />+ /avs_aes/trunk/doc/sw/html/AEStester_8c__incl.map<br />+ /avs_aes/trunk/doc/sw/html/AEStester_8c__incl.md5<br />+ /avs_aes/trunk/doc/sw/html/AEStester_8c__incl.png<br />+ /avs_aes/trunk/doc/sw/html/annotated.html<br />+ /avs_aes/trunk/doc/sw/html/avs__aes_8c.html<br />+ /avs_aes/trunk/doc/sw/html/avs__aes_8c_source.html<br />+ /avs_aes/trunk/doc/sw/html/avs__aes_8c__incl.map<br />+ /avs_aes/trunk/doc/sw/html/avs__aes_8c__incl.md5<br />+ /avs_aes/trunk/doc/sw/html/avs__aes_8c__incl.png<br />+ /avs_aes/trunk/doc/sw/html/avs__aes_8h.html<br />+ /avs_aes/trunk/doc/sw/html/avs__aes_8h_source.html<br />+ /avs_aes/trunk/doc/sw/html/avs__aes_8h__dep__incl.map<br />+ /avs_aes/trunk/doc/sw/html/avs__aes_8h__dep__incl.md5<br />+ /avs_aes/trunk/doc/sw/html/avs__aes_8h__dep__incl.png<br />+ /avs_aes/trunk/doc/sw/html/classes.html<br />+ /avs_aes/trunk/doc/sw/html/closed.gif<br />+ /avs_aes/trunk/doc/sw/html/doxygen.css<br />+ /avs_aes/trunk/doc/sw/html/doxygen.png<br />+ /avs_aes/trunk/doc/sw/html/files.html<br />+ /avs_aes/trunk/doc/sw/html/ftv2blank.png<br />+ /avs_aes/trunk/doc/sw/html/ftv2doc.png<br />+ /avs_aes/trunk/doc/sw/html/ftv2folderclosed.png<br />+ /avs_aes/trunk/doc/sw/html/ftv2folderopen.png<br />+ /avs_aes/trunk/doc/sw/html/ftv2lastnode.png<br />+ /avs_aes/trunk/doc/sw/html/ftv2link.png<br />+ /avs_aes/trunk/doc/sw/html/ftv2mlastnode.png<br />+ /avs_aes/trunk/doc/sw/html/ftv2mnode.png<br />+ /avs_aes/trunk/doc/sw/html/ftv2node.png<br />+ /avs_aes/trunk/doc/sw/html/ftv2plastnode.png<br />+ /avs_aes/trunk/doc/sw/html/ftv2pnode.png<br />+ /avs_aes/trunk/doc/sw/html/ftv2vertline.png<br />+ /avs_aes/trunk/doc/sw/html/functions.html<br />+ /avs_aes/trunk/doc/sw/html/functions_vars.html<br />+ /avs_aes/trunk/doc/sw/html/globals.html<br />+ /avs_aes/trunk/doc/sw/html/globals_defs.html<br />+ /avs_aes/trunk/doc/sw/html/globals_func.html<br />+ /avs_aes/trunk/doc/sw/html/graph_legend.dot<br />+ /avs_aes/trunk/doc/sw/html/graph_legend.html<br />+ /avs_aes/trunk/doc/sw/html/graph_legend.png<br />+ /avs_aes/trunk/doc/sw/html/index.html<br />+ /avs_aes/trunk/doc/sw/html/main.html<br />+ /avs_aes/trunk/doc/sw/html/open.gif<br />+ /avs_aes/trunk/doc/sw/html/structavs__aes__handle.html<br />+ /avs_aes/trunk/doc/sw/html/tabs.css<br />+ /avs_aes/trunk/doc/sw/html/tab_b.gif<br />+ /avs_aes/trunk/doc/sw/html/tab_l.gif<br />+ /avs_aes/trunk/doc/sw/html/tab_r.gif<br />+ /avs_aes/trunk/doc/sw/html/tree.html<br />- /avs_aes/trunk/hdl<br />+ /avs_aes/trunk/rtl<br />- /avs_aes/trunk/rtl/aes_ecb<br />+ /avs_aes/trunk/rtl/VHDL<br />~ /avs_aes/trunk/rtl/VHDL/addroundkey.vhd<br />~ /avs_aes/trunk/rtl/VHDL/aes_core.vhd<br />- /avs_aes/trunk/rtl/VHDL/aes_ecb_pkg.vhd<br />~ /avs_aes/trunk/rtl/VHDL/aes_fsm_decrypt.vhd<br />~ /avs_aes/trunk/rtl/VHDL/aes_fsm_encrypt.vhd<br />~ /avs_aes/trunk/rtl/VHDL/avs_aes.vhd<br />+ /avs_aes/trunk/rtl/VHDL/avs_aes_pkg.vhd<br />- /avs_aes/trunk/rtl/VHDL/avs_aes_tb.vhd<br />~ /avs_aes/trunk/rtl/VHDL/keyexpansionV2.vhd<br />- /avs_aes/trunk/rtl/VHDL/keygenerate.vhd<br />~ /avs_aes/trunk/rtl/VHDL/mixcol.vhd<br />~ /avs_aes/trunk/rtl/VHDL/mixcol_fwd.vhd<br />~ /avs_aes/trunk/rtl/VHDL/mixcol_inv.vhd<br />~ /avs_aes/trunk/rtl/VHDL/sboxM4k.vhd<br />~ /avs_aes/trunk/rtl/VHDL/sbox_arch1.vhd<br />~ /avs_aes/trunk/rtl/VHDL/shiftrow.vhd<br />~ /avs_aes/trunk/rtl/VHDL/shiftrow_fwd.vhd<br />~ /avs_aes/trunk/rtl/VHDL/shiftrow_inv.vhd<br />+ /avs_aes/trunk/sim/avs_aes_tb.do<br />- /avs_aes/trunk/sim/ecb.do<br />~ /avs_aes/trunk/sim/Makefile<br />~ /avs_aes/trunk/sw/AEStester.c<br />~ /avs_aes/trunk/sw/avs_aes.c<br />~ /avs_aes/trunk/sw/avs_aes.h<br />+ /avs_aes/trunk/sw/Doxyfile<br />+ /avs_aes/trunk/syn<br />+ /avs_aes/trunk/syn/Altera_Quartus9.1<br />+ /avs_aes/trunk/syn/Altera_Quartus9.1/avs_aes.qpf<br />+ /avs_aes/trunk/syn/Altera_Quartus9.1/avs_aes.qsf<br />+ /avs_aes/trunk/syn/Altera_Quartus9.1/Makefile<br /> ruschi Fri, 02 Apr 2010 21:41:27 +0100 https://opencores.org/websvn//websvn/revision?repname=avs_aes&path=%2Favs_aes%2Ftrunk%2Frtl%2F&rev=11 replaced generic MYPROJECT with correct projectname avs_aes https://opencores.org/websvn//websvn/revision?repname=avs_aes&path=%2Favs_aes%2Ftrunk%2Fhdl%2F&rev=10 <div><strong>Rev 10 - ruschi</strong> (21 file(s) modified)</div><div>replaced generic MYPROJECT with correct projectname avs_aes</div>~ /avs_aes/trunk/hdl/aes_ecb/addroundkey.vhd<br />~ /avs_aes/trunk/hdl/aes_ecb/aes_core.vhd<br />~ /avs_aes/trunk/hdl/aes_ecb/aes_ecb_pkg.vhd<br />~ /avs_aes/trunk/hdl/aes_ecb/aes_fsm_decrypt.vhd<br />~ /avs_aes/trunk/hdl/aes_ecb/aes_fsm_encrypt.vhd<br />~ /avs_aes/trunk/hdl/aes_ecb/avs_aes.vhd<br />~ /avs_aes/trunk/hdl/aes_ecb/avs_aes_tb.vhd<br />~ /avs_aes/trunk/hdl/aes_ecb/keyexpansionV2.vhd<br />~ /avs_aes/trunk/hdl/aes_ecb/keygenerate.vhd<br />~ /avs_aes/trunk/hdl/aes_ecb/memory_word.vhd<br />~ /avs_aes/trunk/hdl/aes_ecb/mixcol.vhd<br />~ /avs_aes/trunk/hdl/aes_ecb/mixcol_fwd.vhd<br />~ /avs_aes/trunk/hdl/aes_ecb/mixcol_inv.vhd<br />~ /avs_aes/trunk/hdl/aes_ecb/mux2.vhd<br />~ /avs_aes/trunk/hdl/aes_ecb/mux3.vhd<br />~ /avs_aes/trunk/hdl/aes_ecb/sbox.vhd<br />~ /avs_aes/trunk/hdl/aes_ecb/sboxM4k.vhd<br />~ /avs_aes/trunk/hdl/aes_ecb/sbox_arch1.vhd<br />~ /avs_aes/trunk/hdl/aes_ecb/shiftrow.vhd<br />~ /avs_aes/trunk/hdl/aes_ecb/shiftrow_fwd.vhd<br />~ /avs_aes/trunk/hdl/aes_ecb/shiftrow_inv.vhd<br /> ruschi Sun, 07 Mar 2010 11:17:20 +0100 https://opencores.org/websvn//websvn/revision?repname=avs_aes&path=%2Favs_aes%2Ftrunk%2Fhdl%2F&rev=10 deleted the reference to util_lib which never existed in the ... https://opencores.org/websvn//websvn/revision?repname=avs_aes&path=%2Favs_aes%2Ftrunk%2Fhdl%2F&rev=6 <div><strong>Rev 6 - ruschi</strong> (1 file(s) modified)</div><div>deleted the reference to util_lib which never existed in the ...</div>~ /avs_aes/trunk/hdl/aes_ecb/keygenerate.vhd<br /> ruschi Wed, 01 Jul 2009 21:22:37 +0100 https://opencores.org/websvn//websvn/revision?repname=avs_aes&path=%2Favs_aes%2Ftrunk%2Fhdl%2F&rev=6 fixed bug in interrupt request gerneration https://opencores.org/websvn//websvn/revision?repname=avs_aes&path=%2Favs_aes%2Ftrunk%2Fhdl%2F&rev=4 <div><strong>Rev 4 - ruschi</strong> (1 file(s) modified)</div><div>fixed bug in interrupt request gerneration</div>~ /avs_aes/trunk/hdl/aes_ecb/avs_aes.vhd<br /> ruschi Thu, 21 May 2009 08:40:25 +0100 https://opencores.org/websvn//websvn/revision?repname=avs_aes&path=%2Favs_aes%2Ftrunk%2Fhdl%2F&rev=4 ... https://opencores.org/websvn//websvn/revision?repname=avs_aes&path=%2Favs_aes%2Ftrunk%2Fhdl%2F&rev=2 <div><strong>Rev 2 - ruschi</strong> (51 file(s) modified)</div><div>...</div>+ /avs_aes/trunk/doc<br />+ /avs_aes/trunk/doc/acronym.tex<br />+ /avs_aes/trunk/doc/avs_aes.dvi<br />+ /avs_aes/trunk/doc/avs_aes.pdf<br />+ /avs_aes/trunk/doc/avs_aes.tex<br />+ /avs_aes/trunk/doc/cited.bib<br />+ /avs_aes/trunk/doc/figs<br />+ /avs_aes/trunk/doc/figs/encrypt_FSM.dot<br />+ /avs_aes/trunk/doc/figs/encrypt_FSM.eps<br />+ /avs_aes/trunk/doc/figs/encrypt_FSM.jpg<br />+ /avs_aes/trunk/doc/figs/fancy_headsep.svg<br />+ /avs_aes/trunk/doc/figs/fancy_headsep_r.eps<br />+ /avs_aes/trunk/doc/figs/fancy_headsep_r.jpg<br />+ /avs_aes/trunk/doc/figs/logo.eps<br />+ /avs_aes/trunk/doc/figs/logo.jpg<br />+ /avs_aes/trunk/doc/glossary.tex<br />+ /avs_aes/trunk/doc/ruschidoc.cls<br />+ /avs_aes/trunk/doc/sfmath.sty<br />+ /avs_aes/trunk/hdl<br />+ /avs_aes/trunk/hdl/aes_ecb<br />+ /avs_aes/trunk/hdl/aes_ecb/addroundkey.vhd<br />+ /avs_aes/trunk/hdl/aes_ecb/aes_core.vhd<br />+ /avs_aes/trunk/hdl/aes_ecb/aes_ecb_pkg.vhd<br />+ /avs_aes/trunk/hdl/aes_ecb/aes_fsm_decrypt.vhd<br />+ /avs_aes/trunk/hdl/aes_ecb/aes_fsm_encrypt.vhd<br />+ /avs_aes/trunk/hdl/aes_ecb/avs_aes.vhd<br />+ /avs_aes/trunk/hdl/aes_ecb/avs_aes_tb.vhd<br />+ /avs_aes/trunk/hdl/aes_ecb/keyexpansionV2.vhd<br />+ /avs_aes/trunk/hdl/aes_ecb/keygenerate.vhd<br />+ /avs_aes/trunk/hdl/aes_ecb/memory_word.vhd<br />+ /avs_aes/trunk/hdl/aes_ecb/mixcol.vhd<br />+ /avs_aes/trunk/hdl/aes_ecb/mixcol_fwd.vhd<br />+ /avs_aes/trunk/hdl/aes_ecb/mixcol_inv.vhd<br />+ /avs_aes/trunk/hdl/aes_ecb/mux2.vhd<br />+ /avs_aes/trunk/hdl/aes_ecb/mux3.vhd<br />+ /avs_aes/trunk/hdl/aes_ecb/sbox.hex<br />+ /avs_aes/trunk/hdl/aes_ecb/sbox.vhd<br />+ /avs_aes/trunk/hdl/aes_ecb/sboxM4k.vhd<br />+ /avs_aes/trunk/hdl/aes_ecb/sbox_arch1.vhd<br />+ /avs_aes/trunk/hdl/aes_ecb/sbox_inv.hex<br />+ /avs_aes/trunk/hdl/aes_ecb/shiftrow.vhd<br />+ /avs_aes/trunk/hdl/aes_ecb/shiftrow_fwd.vhd<br />+ /avs_aes/trunk/hdl/aes_ecb/shiftrow_inv.vhd<br />+ /avs_aes/trunk/License_and_Liability<br />+ /avs_aes/trunk/sim<br />+ /avs_aes/trunk/sim/ecb.do<br />+ /avs_aes/trunk/sim/Makefile<br />+ /avs_aes/trunk/sim/sbox.hex<br />+ /avs_aes/trunk/sim/sbox_inv.hex<br />+ /avs_aes/trunk/svn-commit.2.tmp<br />+ /avs_aes/trunk/svn-commit.tmp<br /> ruschi Thu, 21 May 2009 08:19:54 +0100 https://opencores.org/websvn//websvn/revision?repname=avs_aes&path=%2Favs_aes%2Ftrunk%2Fhdl%2F&rev=2
© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.