OpenCores
URL https://opencores.org/ocsvn/core1990_interlaken/core1990_interlaken/trunk

Error creating feed file, please check write permissions.
core1990_interlaken WebSVN RSS feed - core1990_interlaken https://opencores.org/websvn//websvn/listing?repname=core1990_interlaken&path=%2Fcore1990_interlaken%2F& Thu, 28 Mar 2024 08:33:07 +0100 FeedCreator 1.7.2 Added improvements and report by L. Verwoert. Now tested/verified on VC707 ... https://opencores.org/websvn//websvn/revision?repname=core1990_interlaken&path=%2Fcore1990_interlaken%2F&rev=11 <div><strong>Rev 11 - N.Boukadida</strong> (52 file(s) modified)</div><div>Added improvements and report by L. Verwoert.<br /> Now tested/verified on VC707 ...</div>+ /core1990_interlaken/trunk/documentation/felix_from_interlaken_report<br />+ /core1990_interlaken/trunk/documentation/felix_from_interlaken_report/Felix_from_interlaken_Leonie.pdf<br />- /core1990_interlaken/trunk/gateware/constraints/Core1990_Constraints.xdc<br />+ /core1990_interlaken/trunk/gateware/constraints/core1990_constraints_vc707.xdc<br />+ /core1990_interlaken/trunk/gateware/constraints/core1990_constraints_vc709.xdc<br />- /core1990_interlaken/trunk/gateware/scripts/vivado_import_virtex7.tcl<br />+ /core1990_interlaken/trunk/gateware/scripts/vivado_import_virtex707.tcl<br />+ /core1990_interlaken/trunk/gateware/scripts/vivado_import_virtex709.tcl<br />/core1990_interlaken/trunk/gateware/sources<br />+ /core1990_interlaken/trunk/gateware/sources/interlaken<br />+ /core1990_interlaken/trunk/gateware/sources/interlaken/crc<br />+ /core1990_interlaken/trunk/gateware/sources/interlaken/crc/crc-24.vhd<br />+ /core1990_interlaken/trunk/gateware/sources/interlaken/crc/crc-32.vhd<br />+ /core1990_interlaken/trunk/gateware/sources/interlaken/interlaken_interface_vc707.vhd<br />+ /core1990_interlaken/trunk/gateware/sources/interlaken/interlaken_interface_vc709.vhd<br />+ /core1990_interlaken/trunk/gateware/sources/interlaken/receiver<br />+ /core1990_interlaken/trunk/gateware/sources/interlaken/receiver/decoder.vhd<br />+ /core1990_interlaken/trunk/gateware/sources/interlaken/receiver/deframing_burst.vhd<br />+ /core1990_interlaken/trunk/gateware/sources/interlaken/receiver/deframing_meta.vhd<br />+ /core1990_interlaken/trunk/gateware/sources/interlaken/receiver/descrambler.vhd<br />+ /core1990_interlaken/trunk/gateware/sources/interlaken/receiver/interlaken_receiver.vhd<br />+ /core1990_interlaken/trunk/gateware/sources/interlaken/test<br />+ /core1990_interlaken/trunk/gateware/sources/interlaken/test/Core1990_Test.vhd<br />+ /core1990_interlaken/trunk/gateware/sources/interlaken/test/Core1990_verification.vhd<br />+ /core1990_interlaken/trunk/gateware/sources/interlaken/test/data_generator.vhd<br />+ /core1990_interlaken/trunk/gateware/sources/interlaken/test/pipeline.vhd<br />+ /core1990_interlaken/trunk/gateware/sources/interlaken/transceiver<br />+ /core1990_interlaken/trunk/gateware/sources/interlaken/transceiver/transceiver_10g_64b67b_block_sync_sm.vhd<br />+ /core1990_interlaken/trunk/gateware/sources/interlaken/transmitter<br />+ /core1990_interlaken/trunk/gateware/sources/interlaken/transmitter/encoder.vhd<br />+ /core1990_interlaken/trunk/gateware/sources/interlaken/transmitter/framing_burst.vhd<br />+ /core1990_interlaken/trunk/gateware/sources/interlaken/transmitter/framing_meta.vhd<br />+ /core1990_interlaken/trunk/gateware/sources/interlaken/transmitter/interlaken_transmitter.vhd<br />+ /core1990_interlaken/trunk/gateware/sources/interlaken/transmitter/scrambler.vhd<br />+ /core1990_interlaken/trunk/gateware/sources/interlaken_pkg.vhd<br />+ /core1990_interlaken/trunk/gateware/sources/interlaken_wrapper_vc707.vhd<br />+ /core1990_interlaken/trunk/gateware/sources/interlaken_wrapper_vc709.vhd<br />+ /core1990_interlaken/trunk/gateware/sources/ip_cores<br />+ /core1990_interlaken/trunk/gateware/sources/ip_cores/vc707<br />+ /core1990_interlaken/trunk/gateware/sources/ip_cores/vc707/clk_40MHz.xci<br />+ /core1990_interlaken/trunk/gateware/sources/ip_cores/vc707/ila_data.xci<br />+ /core1990_interlaken/trunk/gateware/sources/ip_cores/vc707/RX_FIFO.xci<br />+ /core1990_interlaken/trunk/gateware/sources/ip_cores/vc707/Transceiver_10g_64b67b.xci<br />+ /core1990_interlaken/trunk/gateware/sources/ip_cores/vc707/TX_FIFO.xci<br />+ /core1990_interlaken/trunk/gateware/sources/ip_cores/vc707/vio_0.xci<br />+ /core1990_interlaken/trunk/gateware/sources/ip_cores/vc709<br />+ /core1990_interlaken/trunk/gateware/sources/ip_cores/vc709/clk_40MHz.xci<br />+ /core1990_interlaken/trunk/gateware/sources/ip_cores/vc709/ila_data.xci<br />+ /core1990_interlaken/trunk/gateware/sources/ip_cores/vc709/RX_FIFO.xci<br />+ /core1990_interlaken/trunk/gateware/sources/ip_cores/vc709/Transceiver_10g_64b67b.xci<br />+ /core1990_interlaken/trunk/gateware/sources/ip_cores/vc709/TX_FIFO.xci<br />+ /core1990_interlaken/trunk/gateware/sources/ip_cores/vc709/vio_0.xci<br /> N.Boukadida Thu, 23 Jan 2020 12:02:39 +0100 https://opencores.org/websvn//websvn/revision?repname=core1990_interlaken&path=%2Fcore1990_interlaken%2F&rev=11 Cleaned up the documentation file directory https://opencores.org/websvn//websvn/revision?repname=core1990_interlaken&path=%2Fcore1990_interlaken%2F&rev=10 <div><strong>Rev 10 - N.Boukadida</strong> (17 file(s) modified)</div><div>Cleaned up the documentation file directory</div>+ /core1990_interlaken/trunk/documentation/diagrams/Core1990_Overview.png<br />+ /core1990_interlaken/trunk/documentation/diagrams/Hardware_RX.png<br />+ /core1990_interlaken/trunk/documentation/diagrams/Hardware_TX.png<br />- /core1990_interlaken/trunk/documentation/protocol_survey_report/Images/Hardware_Descrambler.png<br />- /core1990_interlaken/trunk/documentation/protocol_survey_report/Images/Hardware_Encoder.png<br />- /core1990_interlaken/trunk/documentation/protocol_survey_report/Images/Hardware_Scrambler.png<br />- /core1990_interlaken/trunk/documentation/protocol_survey_report/Images/Interlaken_RX.png<br />- /core1990_interlaken/trunk/documentation/protocol_survey_report/Images/IP_TransceiverConf1.png<br />- /core1990_interlaken/trunk/documentation/protocol_survey_report/Images/IP_TransceiverConf2.png<br />- /core1990_interlaken/trunk/documentation/protocol_survey_report/Images/IP_TransceiverConf3.png<br />~ /core1990_interlaken/trunk/documentation/protocol_survey_report/Protocol_Exploration.pdf<br />~ /core1990_interlaken/trunk/documentation/protocol_survey_report/Protocol_Exploration.tex<br />- /core1990_interlaken/trunk/documentation/protocol_survey_report/Sections/Hardware.tex<br />- /core1990_interlaken/trunk/documentation/protocol_survey_report/Sections/Progress.tex<br />- /core1990_interlaken/trunk/documentation/protocol_survey_report/Sections/VHDL.tex<br />- /core1990_interlaken/trunk/gateware/Projects<br />- /core1990_interlaken/trunk/gateware/scripts/implementation.tcl<br /> N.Boukadida Mon, 09 Jul 2018 13:32:36 +0100 https://opencores.org/websvn//websvn/revision?repname=core1990_interlaken&path=%2Fcore1990_interlaken%2F&rev=10 Updated documentation and cleaned up the code https://opencores.org/websvn//websvn/revision?repname=core1990_interlaken&path=%2Fcore1990_interlaken%2F&rev=9 <div><strong>Rev 9 - N.Boukadida</strong> (73 file(s) modified)</div><div>Updated documentation and cleaned up the code</div>+ /core1990_interlaken/trunk/documentation/presentations/Point-to-point_protocol_exploration_HVA.pdf<br />~ /core1990_interlaken/trunk/documentation/protocol_survey_report/Bibliography/Bibliography.tex<br />+ /core1990_interlaken/trunk/documentation/protocol_survey_report/Images/Core1990<br />+ /core1990_interlaken/trunk/documentation/protocol_survey_report/Images/Core1990/Core1990_ExDesUsage.png<br />+ /core1990_interlaken/trunk/documentation/protocol_survey_report/Images/Core1990/Core1990_logo.jpg<br />+ /core1990_interlaken/trunk/documentation/protocol_survey_report/Images/Core1990/Core1990_Simulation.png<br />+ /core1990_interlaken/trunk/documentation/protocol_survey_report/Images/Core1990/Core1990_VivadoCore.png<br />+ /core1990_interlaken/trunk/documentation/protocol_survey_report/Images/Core1990/Core1990_VivadoExDes.png<br />+ /core1990_interlaken/trunk/documentation/protocol_survey_report/Images/Core1990/IP_CLK40Conf1.png<br />+ /core1990_interlaken/trunk/documentation/protocol_survey_report/Images/Core1990/IP_CLK40Conf2.png<br />+ /core1990_interlaken/trunk/documentation/protocol_survey_report/Images/Core1990/IP_CLK40Conf3.png<br />+ /core1990_interlaken/trunk/documentation/protocol_survey_report/Images/Core1990/IP_FIFOTXConf1.png<br />+ /core1990_interlaken/trunk/documentation/protocol_survey_report/Images/Core1990/IP_FIFOTXConf2.png<br />+ /core1990_interlaken/trunk/documentation/protocol_survey_report/Images/Core1990/IP_FIFOTXConf3.png<br />+ /core1990_interlaken/trunk/documentation/protocol_survey_report/Images/Core1990/IP_FIFOTXConf4.png<br />+ /core1990_interlaken/trunk/documentation/protocol_survey_report/Images/Core1990/IP_TransceiverConf1.png<br />+ /core1990_interlaken/trunk/documentation/protocol_survey_report/Images/Core1990/IP_TransceiverConf2.png<br />+ /core1990_interlaken/trunk/documentation/protocol_survey_report/Images/Core1990/IP_TransceiverConf3.png<br />+ /core1990_interlaken/trunk/documentation/protocol_survey_report/Images/Core1990_Overview.png<br />+ /core1990_interlaken/trunk/documentation/protocol_survey_report/Images/Hardware_RX.png<br />+ /core1990_interlaken/trunk/documentation/protocol_survey_report/Images/Test_Hardware_1.png<br />+ /core1990_interlaken/trunk/documentation/protocol_survey_report/Images/Test_Hardware_2.png<br />+ /core1990_interlaken/trunk/documentation/protocol_survey_report/Images/Test_Hardware_3.png<br />+ /core1990_interlaken/trunk/documentation/protocol_survey_report/Images/VC707_Clock_Schematic.png<br />+ /core1990_interlaken/trunk/documentation/protocol_survey_report/Images/VC707_Multi_Board.jpg<br />+ /core1990_interlaken/trunk/documentation/protocol_survey_report/Images/VC707_Multi_Board_Waveform.png<br />+ /core1990_interlaken/trunk/documentation/protocol_survey_report/Images/VC707_SMA.jpg<br />~ /core1990_interlaken/trunk/documentation/protocol_survey_report/Protocol_Exploration.pdf<br />~ /core1990_interlaken/trunk/documentation/protocol_survey_report/Protocol_Exploration.tex<br />+ /core1990_interlaken/trunk/documentation/protocol_survey_report/Sections/Conclusion.tex<br />~ /core1990_interlaken/trunk/documentation/protocol_survey_report/Sections/Hardware_Implementation.tex<br />~ /core1990_interlaken/trunk/documentation/protocol_survey_report/Sections/Obtaining_and_building.tex<br />+ /core1990_interlaken/trunk/documentation/protocol_survey_report/Sections/Progress.tex<br />~ /core1990_interlaken/trunk/documentation/protocol_survey_report/Sections/Requirements.tex<br />~ /core1990_interlaken/trunk/documentation/protocol_survey_report/Sections/Structure_of_protocols.tex<br />+ /core1990_interlaken/trunk/documentation/protocol_survey_report/Sections/Summary.tex<br />~ /core1990_interlaken/trunk/documentation/protocol_survey_report/Sections/Survey_CERN.tex<br />~ /core1990_interlaken/trunk/documentation/protocol_survey_report/Sections/Survey_Specifications.tex<br />~ /core1990_interlaken/trunk/documentation/protocol_survey_report/Sections/Survey_Standard.tex<br />~ /core1990_interlaken/trunk/documentation/protocol_survey_report/Sections/Survey_Vendor.tex<br />+ /core1990_interlaken/trunk/documentation/protocol_survey_report/Sections/Test_runs.tex<br />+ /core1990_interlaken/trunk/gateware/constraints/debug_probes.xdc<br />~ /core1990_interlaken/trunk/gateware/scripts/simulation.tcl<br />~ /core1990_interlaken/trunk/gateware/scripts/vivado_import_virtex7.tcl<br />+ /core1990_interlaken/trunk/gateware/scripts/vivado_import_virtex7_exampledesign.tcl<br />~ /core1990_interlaken/trunk/gateware/simulation/Core1990_Test_tb.vhd<br />~ /core1990_interlaken/trunk/gateware/simulation/decoder_tb.vhd<br />~ /core1990_interlaken/trunk/gateware/simulation/deframing_burst_tb.vhd<br />~ /core1990_interlaken/trunk/gateware/simulation/deframing_meta_tb.vhd<br />~ /core1990_interlaken/trunk/gateware/simulation/descrambler_tb.vhd<br />~ /core1990_interlaken/trunk/gateware/simulation/encoder_tb.vhd<br />~ /core1990_interlaken/trunk/gateware/simulation/framing_burst_tb.vhd<br />~ /core1990_interlaken/trunk/gateware/simulation/framing_meta_tb.vhd<br />~ /core1990_interlaken/trunk/gateware/simulation/interlaken_interface_tb.vhd<br />~ /core1990_interlaken/trunk/gateware/simulation/interlaken_receiver_tb.vhd<br />~ /core1990_interlaken/trunk/gateware/simulation/interlaken_transmitter_tb.vhd<br />~ /core1990_interlaken/trunk/gateware/simulation/scrambler_tb.vhd<br />+ /core1990_interlaken/trunk/gateware/sources/example<br />+ /core1990_interlaken/trunk/gateware/sources/example/core1990_test.vhd<br />+ /core1990_interlaken/trunk/gateware/sources/example/data_generator.vhd<br />+ /core1990_interlaken/trunk/gateware/sources/example/pipeline.vhd<br />~ /core1990_interlaken/trunk/gateware/sources/interlaken_interface.vhd<br />~ /core1990_interlaken/trunk/gateware/sources/receiver/decoder.vhd<br />~ /core1990_interlaken/trunk/gateware/sources/receiver/deframing_burst.vhd<br />~ /core1990_interlaken/trunk/gateware/sources/receiver/deframing_meta.vhd<br />~ /core1990_interlaken/trunk/gateware/sources/receiver/descrambler.vhd<br />~ /core1990_interlaken/trunk/gateware/sources/receiver/interlaken_receiver.vhd<br />- /core1990_interlaken/trunk/gateware/sources/test<br />~ /core1990_interlaken/trunk/gateware/sources/transmitter/encoder.vhd<br />~ /core1990_interlaken/trunk/gateware/sources/transmitter/framing_burst.vhd<br />~ /core1990_interlaken/trunk/gateware/sources/transmitter/framing_meta.vhd<br />~ /core1990_interlaken/trunk/gateware/sources/transmitter/interlaken_transmitter.vhd<br />~ /core1990_interlaken/trunk/gateware/sources/transmitter/scrambler.vhd<br /> N.Boukadida Mon, 09 Jul 2018 12:35:45 +0100 https://opencores.org/websvn//websvn/revision?repname=core1990_interlaken&path=%2Fcore1990_interlaken%2F&rev=9 Added improved documentation and firmware has been improved and tested ... https://opencores.org/websvn//websvn/revision?repname=core1990_interlaken&path=%2Fcore1990_interlaken%2F&rev=8 <div><strong>Rev 8 - N.Boukadida</strong> (32 file(s) modified)</div><div>Added improved documentation and firmware has been improved and tested ...</div>~ /core1990_interlaken/trunk/documentation/protocol_survey_report/Abbreviations/Abbreviations.tex<br />~ /core1990_interlaken/trunk/documentation/protocol_survey_report/Bibliography/Bibliography.tex<br />~ /core1990_interlaken/trunk/documentation/protocol_survey_report/Images/Hardware_TX.png<br />~ /core1990_interlaken/trunk/documentation/protocol_survey_report/Images/IP_TransceiverConf1.png<br />~ /core1990_interlaken/trunk/documentation/protocol_survey_report/Images/IP_TransceiverConf2.png<br />~ /core1990_interlaken/trunk/documentation/protocol_survey_report/Images/IP_TransceiverConf3.png<br />~ /core1990_interlaken/trunk/documentation/protocol_survey_report/Protocol_Exploration.pdf<br />~ /core1990_interlaken/trunk/documentation/protocol_survey_report/Protocol_Exploration.tex<br />~ /core1990_interlaken/trunk/documentation/protocol_survey_report/Sections/Hardware_Implementation.tex<br />~ /core1990_interlaken/trunk/documentation/protocol_survey_report/Sections/Obtaining_and_building.tex<br />~ /core1990_interlaken/trunk/documentation/protocol_survey_report/Sections/Version_History.tex<br />~ /core1990_interlaken/trunk/gateware/constraints/Core1990_Constraints.xdc<br />~ /core1990_interlaken/trunk/gateware/sources/interlaken_interface.vhd<br />~ /core1990_interlaken/trunk/gateware/sources/ip_cores/clk_40MHz.xci<br />~ /core1990_interlaken/trunk/gateware/sources/ip_cores/ILA_Data.xci<br />~ /core1990_interlaken/trunk/gateware/sources/ip_cores/RX_FIFO.xci<br />~ /core1990_interlaken/trunk/gateware/sources/ip_cores/Transceiver_10g_64b67b.xci<br />~ /core1990_interlaken/trunk/gateware/sources/ip_cores/TX_FIFO.xci<br />~ /core1990_interlaken/trunk/gateware/sources/ip_cores/vio_0.xci<br />~ /core1990_interlaken/trunk/gateware/sources/receiver/decoder.vhd<br />~ /core1990_interlaken/trunk/gateware/sources/receiver/deframing_burst.vhd<br />~ /core1990_interlaken/trunk/gateware/sources/receiver/deframing_meta.vhd<br />~ /core1990_interlaken/trunk/gateware/sources/receiver/descrambler.vhd<br />~ /core1990_interlaken/trunk/gateware/sources/receiver/interlaken_receiver.vhd<br />~ /core1990_interlaken/trunk/gateware/sources/test/Core1990_Test.vhd<br />~ /core1990_interlaken/trunk/gateware/sources/test/data_generator.vhd<br />~ /core1990_interlaken/trunk/gateware/sources/test/pipeline.vhd<br />~ /core1990_interlaken/trunk/gateware/sources/transmitter/encoder.vhd<br />~ /core1990_interlaken/trunk/gateware/sources/transmitter/framing_burst.vhd<br />~ /core1990_interlaken/trunk/gateware/sources/transmitter/framing_meta.vhd<br />~ /core1990_interlaken/trunk/gateware/sources/transmitter/interlaken_transmitter.vhd<br />~ /core1990_interlaken/trunk/gateware/sources/transmitter/scrambler.vhd<br /> N.Boukadida Fri, 29 Jun 2018 15:26:26 +0100 https://opencores.org/websvn//websvn/revision?repname=core1990_interlaken&path=%2Fcore1990_interlaken%2F&rev=8 ADDED: folder for the source diagrams https://opencores.org/websvn//websvn/revision?repname=core1990_interlaken&path=%2Fcore1990_interlaken%2F&rev=7 <div><strong>Rev 7 - aborga</strong> (1 file(s) modified)</div><div>ADDED: folder for the source diagrams</div>+ /core1990_interlaken/trunk/documentation/diagrams<br /> aborga Wed, 13 Jun 2018 17:25:11 +0100 https://opencores.org/websvn//websvn/revision?repname=core1990_interlaken&path=%2Fcore1990_interlaken%2F&rev=7 ADDED: initial revision of the whole core source code!8) https://opencores.org/websvn//websvn/revision?repname=core1990_interlaken&path=%2Fcore1990_interlaken%2F&rev=6 <div><strong>Rev 6 - N.Boukadida</strong> (43 file(s) modified)</div><div>ADDED: initial revision of the whole core source code!8)</div>+ /core1990_interlaken/trunk/gateware/constraints/Core1990_Constraints.xdc<br />+ /core1990_interlaken/trunk/gateware/scripts/implementation.tcl<br />+ /core1990_interlaken/trunk/gateware/simulation/Core1990_Test_tb.vhd<br />+ /core1990_interlaken/trunk/gateware/simulation/crc-32_tb.vhd<br />+ /core1990_interlaken/trunk/gateware/simulation/decoder_tb.vhd<br />+ /core1990_interlaken/trunk/gateware/simulation/deframing_burst_tb.vhd<br />+ /core1990_interlaken/trunk/gateware/simulation/deframing_meta_tb.vhd<br />+ /core1990_interlaken/trunk/gateware/simulation/descrambler_tb.vhd<br />+ /core1990_interlaken/trunk/gateware/simulation/encoder_tb.vhd<br />+ /core1990_interlaken/trunk/gateware/simulation/framing_burst_tb.vhd<br />+ /core1990_interlaken/trunk/gateware/simulation/framing_meta_tb.vhd<br />+ /core1990_interlaken/trunk/gateware/simulation/interlaken_interface_tb.vhd<br />+ /core1990_interlaken/trunk/gateware/simulation/interlaken_receiver_tb.vhd<br />+ /core1990_interlaken/trunk/gateware/simulation/interlaken_transmitter_tb.vhd<br />+ /core1990_interlaken/trunk/gateware/simulation/scrambler_tb.vhd<br />+ /core1990_interlaken/trunk/gateware/simulation/testbench_interlaken_interface_behav.wcfg<br />+ /core1990_interlaken/trunk/gateware/sources/crc<br />+ /core1990_interlaken/trunk/gateware/sources/crc/crc-24.vhd<br />+ /core1990_interlaken/trunk/gateware/sources/crc/crc-32.vhd<br />+ /core1990_interlaken/trunk/gateware/sources/interlaken_interface.vhd<br />+ /core1990_interlaken/trunk/gateware/sources/ip_cores<br />+ /core1990_interlaken/trunk/gateware/sources/ip_cores/clk_40MHz.xci<br />+ /core1990_interlaken/trunk/gateware/sources/ip_cores/ILA_Data.xci<br />+ /core1990_interlaken/trunk/gateware/sources/ip_cores/RX_FIFO.xci<br />+ /core1990_interlaken/trunk/gateware/sources/ip_cores/Transceiver_10g_64b67b.xci<br />+ /core1990_interlaken/trunk/gateware/sources/ip_cores/TX_FIFO.xci<br />+ /core1990_interlaken/trunk/gateware/sources/ip_cores/vio_0.xci<br />+ /core1990_interlaken/trunk/gateware/sources/receiver<br />+ /core1990_interlaken/trunk/gateware/sources/receiver/decoder.vhd<br />+ /core1990_interlaken/trunk/gateware/sources/receiver/deframing_burst.vhd<br />+ /core1990_interlaken/trunk/gateware/sources/receiver/deframing_meta.vhd<br />+ /core1990_interlaken/trunk/gateware/sources/receiver/descrambler.vhd<br />+ /core1990_interlaken/trunk/gateware/sources/receiver/interlaken_receiver.vhd<br />+ /core1990_interlaken/trunk/gateware/sources/test<br />+ /core1990_interlaken/trunk/gateware/sources/test/Core1990_Test.vhd<br />+ /core1990_interlaken/trunk/gateware/sources/test/data_generator.vhd<br />+ /core1990_interlaken/trunk/gateware/sources/test/pipeline.vhd<br />+ /core1990_interlaken/trunk/gateware/sources/transmitter<br />+ /core1990_interlaken/trunk/gateware/sources/transmitter/encoder.vhd<br />+ /core1990_interlaken/trunk/gateware/sources/transmitter/framing_burst.vhd<br />+ /core1990_interlaken/trunk/gateware/sources/transmitter/framing_meta.vhd<br />+ /core1990_interlaken/trunk/gateware/sources/transmitter/interlaken_transmitter.vhd<br />+ /core1990_interlaken/trunk/gateware/sources/transmitter/scrambler.vhd<br /> N.Boukadida Wed, 13 Jun 2018 09:09:20 +0100 https://opencores.org/websvn//websvn/revision?repname=core1990_interlaken&path=%2Fcore1990_interlaken%2F&rev=6 ADDED: project documentation initial revision https://opencores.org/websvn//websvn/revision?repname=core1990_interlaken&path=%2Fcore1990_interlaken%2F&rev=5 <div><strong>Rev 5 - N.Boukadida</strong> (95 file(s) modified)</div><div>ADDED: project documentation initial revision</div>+ /core1990_interlaken/trunk/documentation/presentations<br />+ /core1990_interlaken/trunk/documentation/presentations/Point-to-point_protocol_exploration.pdf<br />+ /core1990_interlaken/trunk/documentation/presentations/Point-to-point_protocol_exploration.pptx<br />+ /core1990_interlaken/trunk/documentation/protocol_survey_report<br />+ /core1990_interlaken/trunk/documentation/protocol_survey_report/Abbreviations<br />+ /core1990_interlaken/trunk/documentation/protocol_survey_report/Abbreviations/Abbreviations.tex<br />+ /core1990_interlaken/trunk/documentation/protocol_survey_report/Bibliography<br />+ /core1990_interlaken/trunk/documentation/protocol_survey_report/Bibliography/Bibliography.tex<br />+ /core1990_interlaken/trunk/documentation/protocol_survey_report/Images<br />+ /core1990_interlaken/trunk/documentation/protocol_survey_report/Images/256b257b.png<br />+ /core1990_interlaken/trunk/documentation/protocol_survey_report/Images/256b257b2.png<br />+ /core1990_interlaken/trunk/documentation/protocol_survey_report/Images/6466header.png<br />+ /core1990_interlaken/trunk/documentation/protocol_survey_report/Images/AuroraBD.png<br />+ /core1990_interlaken/trunk/documentation/protocol_survey_report/Images/AuroraTL.png<br />+ /core1990_interlaken/trunk/documentation/protocol_survey_report/Images/Bonding.jpg<br />+ /core1990_interlaken/trunk/documentation/protocol_survey_report/Images/Core1990_logo.jpg<br />+ /core1990_interlaken/trunk/documentation/protocol_survey_report/Images/CPRI_64b.png<br />+ /core1990_interlaken/trunk/documentation/protocol_survey_report/Images/CRC-24.png<br />+ /core1990_interlaken/trunk/documentation/protocol_survey_report/Images/CRC1.png<br />+ /core1990_interlaken/trunk/documentation/protocol_survey_report/Images/FC64.png<br />+ /core1990_interlaken/trunk/documentation/protocol_survey_report/Images/GBT_Frame.png<br />+ /core1990_interlaken/trunk/documentation/protocol_survey_report/Images/Gearbox.png<br />+ /core1990_interlaken/trunk/documentation/protocol_survey_report/Images/GTXQPLL.png<br />+ /core1990_interlaken/trunk/documentation/protocol_survey_report/Images/Hardware_CRC.png<br />+ /core1990_interlaken/trunk/documentation/protocol_survey_report/Images/Hardware_Decoder.png<br />+ /core1990_interlaken/trunk/documentation/protocol_survey_report/Images/Hardware_DecoderPt1.png<br />+ /core1990_interlaken/trunk/documentation/protocol_survey_report/Images/Hardware_DecoderPt2.png<br />+ /core1990_interlaken/trunk/documentation/protocol_survey_report/Images/Hardware_Descrambler.png<br />+ /core1990_interlaken/trunk/documentation/protocol_survey_report/Images/Hardware_Encoder.png<br />+ /core1990_interlaken/trunk/documentation/protocol_survey_report/Images/Hardware_Scrambler.png<br />+ /core1990_interlaken/trunk/documentation/protocol_survey_report/Images/Hardware_TX.png<br />+ /core1990_interlaken/trunk/documentation/protocol_survey_report/Images/HyperTransport.jpg<br />+ /core1990_interlaken/trunk/documentation/protocol_survey_report/Images/HyperTransport_2.png<br />+ /core1990_interlaken/trunk/documentation/protocol_survey_report/Images/InterlakenBurst.png<br />+ /core1990_interlaken/trunk/documentation/protocol_survey_report/Images/InterlakenBurstGud.png<br />+ /core1990_interlaken/trunk/documentation/protocol_survey_report/Images/InterlakenWord.png<br />+ /core1990_interlaken/trunk/documentation/protocol_survey_report/Images/Interlaken_BlockType.png<br />+ /core1990_interlaken/trunk/documentation/protocol_survey_report/Images/Interlaken_ControlWord.png<br />+ /core1990_interlaken/trunk/documentation/protocol_survey_report/Images/Interlaken_CRC.png<br />+ /core1990_interlaken/trunk/documentation/protocol_survey_report/Images/Interlaken_CRC32.png<br />+ /core1990_interlaken/trunk/documentation/protocol_survey_report/Images/Interlaken_DiagWord.png<br />+ /core1990_interlaken/trunk/documentation/protocol_survey_report/Images/Interlaken_EncoderPreamble.png<br />+ /core1990_interlaken/trunk/documentation/protocol_survey_report/Images/Interlaken_FC_Out-of-Band.png<br />+ /core1990_interlaken/trunk/documentation/protocol_survey_report/Images/Interlaken_LaneAlignment.png<br />+ /core1990_interlaken/trunk/documentation/protocol_survey_report/Images/Interlaken_Meta.png<br />+ /core1990_interlaken/trunk/documentation/protocol_survey_report/Images/Interlaken_RX.png<br />+ /core1990_interlaken/trunk/documentation/protocol_survey_report/Images/Interlaken_SkipWord.png<br />+ /core1990_interlaken/trunk/documentation/protocol_survey_report/Images/Interlaken_SyncScramWord.png<br />+ /core1990_interlaken/trunk/documentation/protocol_survey_report/Images/Interlaken_WordStructures.png<br />+ /core1990_interlaken/trunk/documentation/protocol_survey_report/Images/IP_CLK40Conf1.png<br />+ /core1990_interlaken/trunk/documentation/protocol_survey_report/Images/IP_CLK40Conf2.png<br />+ /core1990_interlaken/trunk/documentation/protocol_survey_report/Images/IP_CLK40Conf3.png<br />+ /core1990_interlaken/trunk/documentation/protocol_survey_report/Images/IP_CLK40Conf4.png<br />+ /core1990_interlaken/trunk/documentation/protocol_survey_report/Images/IP_TransceiverConf1.png<br />+ /core1990_interlaken/trunk/documentation/protocol_survey_report/Images/IP_TransceiverConf2.png<br />+ /core1990_interlaken/trunk/documentation/protocol_survey_report/Images/IP_TransceiverConf3.png<br />+ /core1990_interlaken/trunk/documentation/protocol_survey_report/Images/LiteFast.png<br />+ /core1990_interlaken/trunk/documentation/protocol_survey_report/Images/osimodel.png<br />+ /core1990_interlaken/trunk/documentation/protocol_survey_report/Images/Protocol_Overview.png<br />+ /core1990_interlaken/trunk/documentation/protocol_survey_report/Images/S-Link.png<br />+ /core1990_interlaken/trunk/documentation/protocol_survey_report/Images/SATA_NVMe.png<br />+ /core1990_interlaken/trunk/documentation/protocol_survey_report/Images/SerialLiteIII.png<br />+ /core1990_interlaken/trunk/documentation/protocol_survey_report/Images/stop_and_wait.jpg<br />+ /core1990_interlaken/trunk/documentation/protocol_survey_report/Images/VC707_Nikhef.jpg<br />+ /core1990_interlaken/trunk/documentation/protocol_survey_report/Packages<br />+ /core1990_interlaken/trunk/documentation/protocol_survey_report/Packages/Caption.tex<br />+ /core1990_interlaken/trunk/documentation/protocol_survey_report/Packages/Forest.tex<br />+ /core1990_interlaken/trunk/documentation/protocol_survey_report/Protocol_Exploration.pdf<br />+ /core1990_interlaken/trunk/documentation/protocol_survey_report/Protocol_Exploration.tex<br />+ /core1990_interlaken/trunk/documentation/protocol_survey_report/Sections<br />+ /core1990_interlaken/trunk/documentation/protocol_survey_report/Sections/Appendix.tex<br />+ /core1990_interlaken/trunk/documentation/protocol_survey_report/Sections/Hardware.tex<br />+ /core1990_interlaken/trunk/documentation/protocol_survey_report/Sections/Hardware_Implementation.tex<br />+ /core1990_interlaken/trunk/documentation/protocol_survey_report/Sections/Interlaken.tex<br />+ /core1990_interlaken/trunk/documentation/protocol_survey_report/Sections/Introduction.tex<br />+ /core1990_interlaken/trunk/documentation/protocol_survey_report/Sections/Obtaining_and_building.tex<br />+ /core1990_interlaken/trunk/documentation/protocol_survey_report/Sections/Requirements.tex<br />+ /core1990_interlaken/trunk/documentation/protocol_survey_report/Sections/Structure_of_protocols.tex<br />+ /core1990_interlaken/trunk/documentation/protocol_survey_report/Sections/Survey_CERN.tex<br />+ /core1990_interlaken/trunk/documentation/protocol_survey_report/Sections/Survey_Specifications.tex<br />+ /core1990_interlaken/trunk/documentation/protocol_survey_report/Sections/Survey_Standard.tex<br />+ /core1990_interlaken/trunk/documentation/protocol_survey_report/Sections/Survey_Vendor.tex<br />+ /core1990_interlaken/trunk/documentation/protocol_survey_report/Sections/Transmission_Encoding_Decoding.tex<br />+ /core1990_interlaken/trunk/documentation/protocol_survey_report/Sections/Version_History.tex<br />+ /core1990_interlaken/trunk/documentation/protocol_survey_report/Sections/VHDL.tex<br />+ /core1990_interlaken/trunk/documentation/protocol_survey_report/Template<br />+ /core1990_interlaken/trunk/documentation/protocol_survey_report/Template/pictures<br />+ /core1990_interlaken/trunk/documentation/protocol_survey_report/Template/pictures/footer.png<br />+ /core1990_interlaken/trunk/documentation/protocol_survey_report/Template/pictures/NewNikheflogoCMYK.pdf<br />+ /core1990_interlaken/trunk/documentation/protocol_survey_report/Template/pictures/NIKHEF.pdf<br />+ /core1990_interlaken/trunk/documentation/protocol_survey_report/Template/pictures/NIKHEF_Imagio_Turpis.pdf<br />+ /core1990_interlaken/trunk/documentation/protocol_survey_report/Template/Template.tex<br />+ /core1990_interlaken/trunk/documentation/protocol_survey_report/Template/Titlepage.tex<br />+ /core1990_interlaken/trunk/documentation/user_guide<br />~ /core1990_interlaken/trunk/gateware/scripts/simulation.tcl<br /> N.Boukadida Wed, 13 Jun 2018 09:04:42 +0100 https://opencores.org/websvn//websvn/revision?repname=core1990_interlaken&path=%2Fcore1990_interlaken%2F&rev=5 Added simulation script https://opencores.org/websvn//websvn/revision?repname=core1990_interlaken&path=%2Fcore1990_interlaken%2F&rev=4 <div><strong>Rev 4 - N.Boukadida</strong> (1 file(s) modified)</div><div>Added simulation script</div>+ /core1990_interlaken/trunk/gateware/scripts/simulation.tcl<br /> N.Boukadida Thu, 07 Jun 2018 09:29:34 +0100 https://opencores.org/websvn//websvn/revision?repname=core1990_interlaken&path=%2Fcore1990_interlaken%2F&rev=4 Added script to generate the project https://opencores.org/websvn//websvn/revision?repname=core1990_interlaken&path=%2Fcore1990_interlaken%2F&rev=3 <div><strong>Rev 3 - N.Boukadida</strong> (1 file(s) modified)</div><div>Added script to generate the project</div>+ /core1990_interlaken/trunk/gateware/scripts/vivado_import_virtex7.tcl<br /> N.Boukadida Thu, 07 Jun 2018 09:28:11 +0100 https://opencores.org/websvn//websvn/revision?repname=core1990_interlaken&path=%2Fcore1990_interlaken%2F&rev=3 CREATED: Initial Core1990 folder structure https://opencores.org/websvn//websvn/revision?repname=core1990_interlaken&path=%2Fcore1990_interlaken%2F&rev=2 <div><strong>Rev 2 - aborga</strong> (8 file(s) modified)</div><div>CREATED: Initial Core1990 folder structure</div>+ /core1990_interlaken/trunk/documentation<br />+ /core1990_interlaken/trunk/gateware<br />+ /core1990_interlaken/trunk/gateware/constraints<br />+ /core1990_interlaken/trunk/gateware/output<br />+ /core1990_interlaken/trunk/gateware/Projects<br />+ /core1990_interlaken/trunk/gateware/scripts<br />+ /core1990_interlaken/trunk/gateware/simulation<br />+ /core1990_interlaken/trunk/gateware/sources<br /> aborga Mon, 19 Mar 2018 15:58:32 +0100 https://opencores.org/websvn//websvn/revision?repname=core1990_interlaken&path=%2Fcore1990_interlaken%2F&rev=2 The project and the structure was created https://opencores.org/websvn//websvn/revision?repname=core1990_interlaken&path=%2Fcore1990_interlaken%2F&rev=1 <div><strong>Rev 1 - root</strong> (4 file(s) modified)</div><div>The project and the structure was created</div>+ /core1990_interlaken<br />+ /core1990_interlaken/branches<br />+ /core1990_interlaken/tags<br />+ /core1990_interlaken/trunk<br /> root Mon, 19 Mar 2018 14:00:02 +0100 https://opencores.org/websvn//websvn/revision?repname=core1990_interlaken&path=%2Fcore1990_interlaken%2F&rev=1
© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.