OpenCores
URL https://opencores.org/ocsvn/mod_sim_exp/mod_sim_exp/trunk

Error creating feed file, please check write permissions.
mod_sim_exp WebSVN RSS feed - mod_sim_exp https://opencores.org/websvn//websvn/listing?repname=mod_sim_exp&path=%2Fmod_sim_exp%2Ftrunk%2Frtl%2Fvhdl%2Fcore%2Fx_shift_reg.vhd& Thu, 28 Mar 2024 12:33:34 +0100 FeedCreator 1.7.2 changed x_i signal to xi https://opencores.org/websvn//websvn/revision?repname=mod_sim_exp&path=%2Fmod_sim_exp%2Ftrunk%2Frtl%2Fvhdl%2Fcore%2F&rev=21 <div><strong>Rev 21 - JonasDC</strong> (3 file(s) modified)</div><div>changed x_i signal to xi</div>~ /mod_sim_exp/trunk/rtl/vhdl/core/mod_sim_exp_pkg.vhd<br />~ /mod_sim_exp/trunk/rtl/vhdl/core/mont_mult_sys_pipeline.vhd<br />~ /mod_sim_exp/trunk/rtl/vhdl/core/x_shift_reg.vhd<br /> JonasDC Tue, 30 Oct 2012 08:23:21 +0100 https://opencores.org/websvn//websvn/revision?repname=mod_sim_exp&path=%2Fmod_sim_exp%2Ftrunk%2Frtl%2Fvhdl%2Fcore%2F&rev=21 added comments, changed signal name of x_reg_i to x_reg. File is ... https://opencores.org/websvn//websvn/revision?repname=mod_sim_exp&path=%2Fmod_sim_exp%2Ftrunk%2Frtl%2Fvhdl%2Fcore%2F&rev=20 <div><strong>Rev 20 - JonasDC</strong> (2 file(s) modified)</div><div>added comments, changed signal name of x_reg_i to x_reg.<br /> File is ...</div>~ /mod_sim_exp/trunk/rtl/vhdl/core/mod_sim_exp_pkg.vhd<br />~ /mod_sim_exp/trunk/rtl/vhdl/core/x_shift_reg.vhd<br /> JonasDC Tue, 30 Oct 2012 08:05:34 +0100 https://opencores.org/websvn//websvn/revision?repname=mod_sim_exp&path=%2Fmod_sim_exp%2Ftrunk%2Frtl%2Fvhdl%2Fcore%2F&rev=20 updated vhdl sources with new header according to OC design ... https://opencores.org/websvn//websvn/revision?repname=mod_sim_exp&path=%2Fmod_sim_exp%2Ftrunk%2Frtl%2Fvhdl%2Fcore%2F&rev=3 <div><strong>Rev 3 - JonasDC</strong> (36 file(s) modified)</div><div>updated vhdl sources with new header according to OC design ...</div>~ /mod_sim_exp/trunk/bench/vhdl/tb_multiplier_core.vhd<br />~ /mod_sim_exp/trunk/rtl/vhdl/core/adder_block.vhd<br />~ /mod_sim_exp/trunk/rtl/vhdl/core/adder_n.vhd<br />~ /mod_sim_exp/trunk/rtl/vhdl/core/autorun_cntrl.vhd<br />~ /mod_sim_exp/trunk/rtl/vhdl/core/cell_1b.vhd<br />~ /mod_sim_exp/trunk/rtl/vhdl/core/cell_1b_adder.vhd<br />~ /mod_sim_exp/trunk/rtl/vhdl/core/cell_1b_mux.vhd<br />~ /mod_sim_exp/trunk/rtl/vhdl/core/counter_sync.vhd<br />~ /mod_sim_exp/trunk/rtl/vhdl/core/d_flip_flop.vhd<br />~ /mod_sim_exp/trunk/rtl/vhdl/core/fifo_primitive.vhd<br />~ /mod_sim_exp/trunk/rtl/vhdl/core/first_stage.vhd<br />~ /mod_sim_exp/trunk/rtl/vhdl/core/last_stage.vhd<br />~ /mod_sim_exp/trunk/rtl/vhdl/core/modulus_ram.vhd<br />+ /mod_sim_exp/trunk/rtl/vhdl/core/mod_sim_exp_pkg.vhd<br />~ /mod_sim_exp/trunk/rtl/vhdl/core/mont_ctrl.vhd<br />~ /mod_sim_exp/trunk/rtl/vhdl/core/mont_mult_sys_pipeline.vhd<br />~ /mod_sim_exp/trunk/rtl/vhdl/core/multiplier_core.vhd<br />~ /mod_sim_exp/trunk/rtl/vhdl/core/operands_sp.vhd<br />~ /mod_sim_exp/trunk/rtl/vhdl/core/operand_dp.vhd<br />~ /mod_sim_exp/trunk/rtl/vhdl/core/operand_mem.vhd<br />~ /mod_sim_exp/trunk/rtl/vhdl/core/operand_ram.vhd<br />~ /mod_sim_exp/trunk/rtl/vhdl/core/register_1b.vhd<br />~ /mod_sim_exp/trunk/rtl/vhdl/core/register_n.vhd<br />~ /mod_sim_exp/trunk/rtl/vhdl/core/standard_cell_block.vhd<br />~ /mod_sim_exp/trunk/rtl/vhdl/core/standard_stage.vhd<br />- /mod_sim_exp/trunk/rtl/vhdl/core/std_logic_textio.vhd<br />~ /mod_sim_exp/trunk/rtl/vhdl/core/stepping_logic.vhd<br />~ /mod_sim_exp/trunk/rtl/vhdl/core/systolic_pipeline.vhd<br />~ /mod_sim_exp/trunk/rtl/vhdl/core/x_shift_reg.vhd<br />+ /mod_sim_exp/trunk/sim<br />+ /mod_sim_exp/trunk/sim/Makefile<br />+ /mod_sim_exp/trunk/sim/mod_sim_exp.do<br />+ /mod_sim_exp/trunk/sim/out<br />+ /mod_sim_exp/trunk/sim/out/sim_output.txt<br />+ /mod_sim_exp/trunk/sim/src<br />+ /mod_sim_exp/trunk/sim/src/sim_input.txt<br /> JonasDC Mon, 22 Oct 2012 19:08:31 +0100 https://opencores.org/websvn//websvn/revision?repname=mod_sim_exp&path=%2Fmod_sim_exp%2Ftrunk%2Frtl%2Fvhdl%2Fcore%2F&rev=3 First version of VHDL source(working), still contains xilinx primitives and ... https://opencores.org/websvn//websvn/revision?repname=mod_sim_exp&path=%2Fmod_sim_exp%2Ftrunk%2Frtl%2Fvhdl%2Fcore%2F&rev=2 <div><strong>Rev 2 - JonasDC</strong> (37 file(s) modified)</div><div>First version of VHDL source(working), still contains xilinx primitives and ...</div>+ /mod_sim_exp/trunk/bench<br />+ /mod_sim_exp/trunk/bench/vhdl<br />+ /mod_sim_exp/trunk/bench/vhdl/tb_multiplier_core.vhd<br />+ /mod_sim_exp/trunk/rtl<br />+ /mod_sim_exp/trunk/rtl/vhdl<br />+ /mod_sim_exp/trunk/rtl/vhdl/core<br />+ /mod_sim_exp/trunk/rtl/vhdl/core/adder_block.vhd<br />+ /mod_sim_exp/trunk/rtl/vhdl/core/adder_n.vhd<br />+ /mod_sim_exp/trunk/rtl/vhdl/core/autorun_cntrl.vhd<br />+ /mod_sim_exp/trunk/rtl/vhdl/core/cell_1b.vhd<br />+ /mod_sim_exp/trunk/rtl/vhdl/core/cell_1b_adder.vhd<br />+ /mod_sim_exp/trunk/rtl/vhdl/core/cell_1b_mux.vhd<br />+ /mod_sim_exp/trunk/rtl/vhdl/core/counter_sync.vhd<br />+ /mod_sim_exp/trunk/rtl/vhdl/core/d_flip_flop.vhd<br />+ /mod_sim_exp/trunk/rtl/vhdl/core/fifo_primitive.vhd<br />+ /mod_sim_exp/trunk/rtl/vhdl/core/first_stage.vhd<br />+ /mod_sim_exp/trunk/rtl/vhdl/core/last_stage.vhd<br />+ /mod_sim_exp/trunk/rtl/vhdl/core/modulus_ram.vhd<br />+ /mod_sim_exp/trunk/rtl/vhdl/core/mont_ctrl.vhd<br />+ /mod_sim_exp/trunk/rtl/vhdl/core/mont_mult_sys_pipeline.vhd<br />+ /mod_sim_exp/trunk/rtl/vhdl/core/multiplier_core.vhd<br />+ /mod_sim_exp/trunk/rtl/vhdl/core/operands_sp.vhd<br />+ /mod_sim_exp/trunk/rtl/vhdl/core/operand_dp.vhd<br />+ /mod_sim_exp/trunk/rtl/vhdl/core/operand_mem.vhd<br />+ /mod_sim_exp/trunk/rtl/vhdl/core/operand_ram.vhd<br />+ /mod_sim_exp/trunk/rtl/vhdl/core/register_1b.vhd<br />+ /mod_sim_exp/trunk/rtl/vhdl/core/register_n.vhd<br />+ /mod_sim_exp/trunk/rtl/vhdl/core/standard_cell_block.vhd<br />+ /mod_sim_exp/trunk/rtl/vhdl/core/standard_stage.vhd<br />+ /mod_sim_exp/trunk/rtl/vhdl/core/std_logic_textio.vhd<br />+ /mod_sim_exp/trunk/rtl/vhdl/core/stepping_logic.vhd<br />+ /mod_sim_exp/trunk/rtl/vhdl/core/systolic_pipeline.vhd<br />+ /mod_sim_exp/trunk/rtl/vhdl/core/x_shift_reg.vhd<br />+ /mod_sim_exp/trunk/rtl/vhdl/interface<br />+ /mod_sim_exp/trunk/rtl/vhdl/interface/plb<br />+ /mod_sim_exp/trunk/rtl/vhdl/interface/plb/mont_mult1536.vhd<br />+ /mod_sim_exp/trunk/rtl/vhdl/interface/plb/user_logic.vhd<br /> JonasDC Thu, 18 Oct 2012 13:14:22 +0100 https://opencores.org/websvn//websvn/revision?repname=mod_sim_exp&path=%2Fmod_sim_exp%2Ftrunk%2Frtl%2Fvhdl%2Fcore%2F&rev=2
© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.