OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Error creating feed file, please check write permissions.
neorv32 WebSVN RSS feed - neorv32 https://opencores.org/websvn//websvn/listing?repname=neorv32&path=%2Fneorv32%2Ftrunk%2F& Fri, 29 Mar 2024 15:44:01 +0100 FeedCreator 1.7.2 bump to v1.7.0 https://opencores.org/websvn//websvn/revision?repname=neorv32&path=%2Fneorv32%2Ftrunk%2F&rev=74 <div><strong>Rev 74 - zero_gravity</strong> (91 file(s) modified)</div><div>bump to v1.7.0</div>~ /neorv32/trunk/.gitignore<br />- /neorv32/trunk/.gitmodules<br />~ /neorv32/trunk/CHANGELOG.md<br />~ /neorv32/trunk/CODE_OF_CONDUCT.md<br />~ /neorv32/trunk/do.py<br />~ /neorv32/trunk/docs/attrs.adoc<br />~ /neorv32/trunk/docs/datasheet/cpu.adoc<br />~ /neorv32/trunk/docs/datasheet/cpu_csr.adoc<br />~ /neorv32/trunk/docs/datasheet/overview.adoc<br />~ /neorv32/trunk/docs/datasheet/soc.adoc<br />~ /neorv32/trunk/docs/datasheet/soc_trng.adoc<br />~ /neorv32/trunk/docs/datasheet/software.adoc<br />+ /neorv32/trunk/docs/figures/neorv32_logo_front.png<br />- /neorv32/trunk/docs/impressum.md<br />~ /neorv32/trunk/docs/legal.adoc<br />~ /neorv32/trunk/docs/userguide/adding_custom_hw_modules.adoc<br />~ /neorv32/trunk/docs/userguide/content.adoc<br />~ /neorv32/trunk/docs/userguide/debugging_with_ocd.adoc<br />- /neorv32/trunk/docs/userguide/riscv_architecture_tests.adoc<br />~ /neorv32/trunk/README.md<br />~ /neorv32/trunk/rtl/core/neorv32_application_image.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_bootloader_image.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_cpu_bus.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_cpu_control.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_cpu_cp_bitmanip.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_cpu_cp_fpu.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_cpu_cp_muldiv.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_cpu_cp_shifter.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_cpu_decompressor.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_cpu_regfile.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_icache.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_package.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_slink.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_top.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_trng.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_uart.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_xirq.vhd<br />~ /neorv32/trunk/rtl/README.md<br />~ /neorv32/trunk/sim/neorv32_tb.vhd<br />~ /neorv32/trunk/sim/README.md<br />- /neorv32/trunk/sim/run_riscv_arch_test.sh<br />~ /neorv32/trunk/sw/bootloader/bootloader.c<br />~ /neorv32/trunk/sw/common/crt0.S<br />+ /neorv32/trunk/sw/example/demo_newlib<br />+ /neorv32/trunk/sw/example/demo_newlib/main.c<br />+ /neorv32/trunk/sw/example/demo_newlib/makefile<br />~ /neorv32/trunk/sw/example/dhrystone/dhrystone.sh<br />~ /neorv32/trunk/sw/example/floating_point_test/neorv32_zfinx_extension_intrinsics.h<br />- /neorv32/trunk/sw/example/newlib_demo<br />~ /neorv32/trunk/sw/example/processor_check/main.c<br />~ /neorv32/trunk/sw/example/processor_check/run_check.sh<br />~ /neorv32/trunk/sw/image_gen/uart_upload.sh<br />- /neorv32/trunk/sw/isa-test<br />~ /neorv32/trunk/sw/lib/include/neorv32.h<br />~ /neorv32/trunk/sw/lib/include/neorv32_cfs.h<br />~ /neorv32/trunk/sw/lib/include/neorv32_cpu.h<br />~ /neorv32/trunk/sw/lib/include/neorv32_cpu_cfu.h<br />~ /neorv32/trunk/sw/lib/include/neorv32_gpio.h<br />~ /neorv32/trunk/sw/lib/include/neorv32_gptmr.h<br />~ /neorv32/trunk/sw/lib/include/neorv32_intrinsics.h<br />~ /neorv32/trunk/sw/lib/include/neorv32_mtime.h<br />~ /neorv32/trunk/sw/lib/include/neorv32_neoled.h<br />~ /neorv32/trunk/sw/lib/include/neorv32_pwm.h<br />~ /neorv32/trunk/sw/lib/include/neorv32_rte.h<br />~ /neorv32/trunk/sw/lib/include/neorv32_slink.h<br />~ /neorv32/trunk/sw/lib/include/neorv32_spi.h<br />~ /neorv32/trunk/sw/lib/include/neorv32_trng.h<br />~ /neorv32/trunk/sw/lib/include/neorv32_twi.h<br />~ /neorv32/trunk/sw/lib/include/neorv32_uart.h<br />~ /neorv32/trunk/sw/lib/include/neorv32_wdt.h<br />~ /neorv32/trunk/sw/lib/include/neorv32_xip.h<br />~ /neorv32/trunk/sw/lib/include/neorv32_xirq.h<br />~ /neorv32/trunk/sw/lib/source/neorv32_cfs.c<br />~ /neorv32/trunk/sw/lib/source/neorv32_cpu.c<br />~ /neorv32/trunk/sw/lib/source/neorv32_cpu_cfu.c<br />~ /neorv32/trunk/sw/lib/source/neorv32_gpio.c<br />~ /neorv32/trunk/sw/lib/source/neorv32_gptmr.c<br />~ /neorv32/trunk/sw/lib/source/neorv32_mtime.c<br />~ /neorv32/trunk/sw/lib/source/neorv32_neoled.c<br />~ /neorv32/trunk/sw/lib/source/neorv32_pwm.c<br />~ /neorv32/trunk/sw/lib/source/neorv32_rte.c<br />~ /neorv32/trunk/sw/lib/source/neorv32_slink.c<br />~ /neorv32/trunk/sw/lib/source/neorv32_spi.c<br />~ /neorv32/trunk/sw/lib/source/neorv32_trng.c<br />~ /neorv32/trunk/sw/lib/source/neorv32_twi.c<br />~ /neorv32/trunk/sw/lib/source/neorv32_uart.c<br />~ /neorv32/trunk/sw/lib/source/neorv32_wdt.c<br />~ /neorv32/trunk/sw/lib/source/neorv32_xip.c<br />~ /neorv32/trunk/sw/lib/source/neorv32_xirq.c<br />~ /neorv32/trunk/sw/lib/source/syscalls.c<br />~ /neorv32/trunk/sw/README.md<br /> zero_gravity Sat, 09 Apr 2022 22:44:02 +0100 https://opencores.org/websvn//websvn/revision?repname=neorv32&path=%2Fneorv32%2Ftrunk%2F&rev=74 bump to version 1.6.9 https://opencores.org/websvn//websvn/revision?repname=neorv32&path=%2Fneorv32%2Ftrunk%2F&rev=73 <div><strong>Rev 73 - zero_gravity</strong> (70 file(s) modified)</div><div>bump to version 1.6.9</div>~ /neorv32/trunk/CHANGELOG.md<br />~ /neorv32/trunk/docs/attrs.adoc<br />~ /neorv32/trunk/docs/datasheet/cpu.adoc<br />~ /neorv32/trunk/docs/datasheet/cpu_csr.adoc<br />~ /neorv32/trunk/docs/datasheet/overview.adoc<br />~ /neorv32/trunk/docs/datasheet/soc.adoc<br />~ /neorv32/trunk/docs/datasheet/soc_buskeeper.adoc<br />~ /neorv32/trunk/docs/datasheet/soc_cfs.adoc<br />~ /neorv32/trunk/docs/datasheet/soc_gptmr.adoc<br />~ /neorv32/trunk/docs/datasheet/soc_neoled.adoc<br />~ /neorv32/trunk/docs/datasheet/soc_slink.adoc<br />~ /neorv32/trunk/docs/datasheet/soc_spi.adoc<br />~ /neorv32/trunk/docs/datasheet/soc_trng.adoc<br />~ /neorv32/trunk/docs/datasheet/soc_twi.adoc<br />~ /neorv32/trunk/docs/datasheet/soc_uart.adoc<br />~ /neorv32/trunk/docs/datasheet/soc_wdt.adoc<br />~ /neorv32/trunk/docs/datasheet/soc_xirq.adoc<br />~ /neorv32/trunk/docs/datasheet/software.adoc<br />~ /neorv32/trunk/docs/figures/neorv32_cpu.png<br />~ /neorv32/trunk/docs/neorv32-theme.yml<br />~ /neorv32/trunk/docs/references/riscv-privileged.pdf<br />~ /neorv32/trunk/docs/references/riscv-spec.pdf<br />~ /neorv32/trunk/README.md<br />~ /neorv32/trunk/rtl/core/neorv32_application_image.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_bootloader_image.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_busswitch.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_bus_keeper.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_cfs.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_cpu.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_cpu_alu.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_cpu_bus.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_cpu_control.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_cpu_cp_cfu.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_cpu_cp_fpu.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_cpu_cp_muldiv.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_cpu_decompressor.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_cpu_regfile.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_debug_dm.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_icache.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_package.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_sysinfo.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_top.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_wishbone.vhd<br />~ /neorv32/trunk/rtl/processor_templates/neorv32_ProcessorTop_Minimal.vhd<br />~ /neorv32/trunk/rtl/processor_templates/neorv32_ProcessorTop_MinimalBoot.vhd<br />~ /neorv32/trunk/rtl/processor_templates/neorv32_ProcessorTop_UP5KDemo.vhd<br />~ /neorv32/trunk/rtl/system_integration/neorv32_ProcessorTop_stdlogic.vhd<br />~ /neorv32/trunk/rtl/system_integration/neorv32_SystemTop_AvalonMM.vhd<br />~ /neorv32/trunk/rtl/system_integration/neorv32_SystemTop_axi4lite.vhd<br />~ /neorv32/trunk/sim/neorv32_tb.vhd<br />~ /neorv32/trunk/sim/simple/neorv32_tb.simple.vhd<br />~ /neorv32/trunk/sw/bootloader/bootloader.c<br />~ /neorv32/trunk/sw/common/crt0.S<br />~ /neorv32/trunk/sw/example/bitmanip_test/main.c<br />~ /neorv32/trunk/sw/example/coremark/core_portme.c<br />~ /neorv32/trunk/sw/example/demo_gptmr/main.c<br />+ /neorv32/trunk/sw/example/demo_pmp<br />+ /neorv32/trunk/sw/example/demo_pmp/main.c<br />+ /neorv32/trunk/sw/example/demo_pmp/makefile<br />~ /neorv32/trunk/sw/example/demo_slink/main.c<br />~ /neorv32/trunk/sw/example/newlib_demo/main.c<br />~ /neorv32/trunk/sw/example/processor_check/main.c<br />~ /neorv32/trunk/sw/lib/include/neorv32.h<br />~ /neorv32/trunk/sw/lib/include/neorv32_cpu.h<br />~ /neorv32/trunk/sw/lib/source/neorv32_cpu.c<br />~ /neorv32/trunk/sw/lib/source/neorv32_gptmr.c<br />~ /neorv32/trunk/sw/lib/source/neorv32_rte.c<br />~ /neorv32/trunk/sw/lib/source/neorv32_uart.c<br />~ /neorv32/trunk/sw/lib/source/neorv32_xirq.c<br />~ /neorv32/trunk/sw/svd/neorv32.svd<br /> zero_gravity Wed, 09 Mar 2022 05:01:37 +0100 https://opencores.org/websvn//websvn/revision?repname=neorv32&path=%2Fneorv32%2Ftrunk%2F&rev=73 bump to version 1.6.8 https://opencores.org/websvn//websvn/revision?repname=neorv32&path=%2Fneorv32%2Ftrunk%2F&rev=72 <div><strong>Rev 72 - zero_gravity</strong> (86 file(s) modified)</div><div>bump to version 1.6.8</div>~ /neorv32/trunk/CHANGELOG.md<br />~ /neorv32/trunk/docs/attrs.adoc<br />~ /neorv32/trunk/docs/datasheet/cpu.adoc<br />+ /neorv32/trunk/docs/datasheet/cpu_cfu.adoc<br />~ /neorv32/trunk/docs/datasheet/cpu_csr.adoc<br />~ /neorv32/trunk/docs/datasheet/index.adoc<br />~ /neorv32/trunk/docs/datasheet/on_chip_debugger.adoc<br />~ /neorv32/trunk/docs/datasheet/overview.adoc<br />~ /neorv32/trunk/docs/datasheet/rationale.adoc<br />~ /neorv32/trunk/docs/datasheet/soc.adoc<br />~ /neorv32/trunk/docs/datasheet/soc_bootrom.adoc<br />~ /neorv32/trunk/docs/datasheet/soc_cfs.adoc<br />~ /neorv32/trunk/docs/datasheet/soc_imem.adoc<br />~ /neorv32/trunk/docs/datasheet/soc_sysinfo.adoc<br />~ /neorv32/trunk/docs/datasheet/soc_uart.adoc<br />~ /neorv32/trunk/docs/datasheet/soc_xip.adoc<br />~ /neorv32/trunk/docs/datasheet/software.adoc<br />+ /neorv32/trunk/docs/datasheet/software_bootloader.adoc<br />+ /neorv32/trunk/docs/datasheet/software_rte.adoc<br />+ /neorv32/trunk/docs/figures/cfu_r2type_instruction.png<br />~ /neorv32/trunk/docs/figures/license.md<br />~ /neorv32/trunk/docs/figures/neorv32_cpu.png<br />+ /neorv32/trunk/docs/figures/neorv32_cpu_block.png<br />~ /neorv32/trunk/docs/figures/neorv32_ocd_complex.png<br />~ /neorv32/trunk/docs/figures/neorv32_processor.png<br />+ /neorv32/trunk/docs/figures/ram_layout.png<br />~ /neorv32/trunk/docs/legal.adoc<br />~ /neorv32/trunk/docs/README.md<br />~ /neorv32/trunk/docs/userguide/adding_custom_hw_modules.adoc<br />~ /neorv32/trunk/docs/userguide/debugging_with_ocd.adoc<br />~ /neorv32/trunk/docs/userguide/executable_upload.adoc<br />~ /neorv32/trunk/docs/userguide/general_hw_setup.adoc<br />~ /neorv32/trunk/docs/userguide/index.adoc<br />~ /neorv32/trunk/docs/userguide/riscv_architecture_tests.adoc<br />~ /neorv32/trunk/docs/userguide/sw_toolchain_setup.adoc<br />~ /neorv32/trunk/README.md<br />~ /neorv32/trunk/rtl/core/mem/neorv32_imem.default.vhd<br />~ /neorv32/trunk/rtl/core/mem/neorv32_imem.legacy.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_application_image.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_bootloader_image.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_boot_rom.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_cpu.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_cpu_alu.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_cpu_control.vhd<br />+ /neorv32/trunk/rtl/core/neorv32_cpu_cp_cfu.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_imem.entity.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_package.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_sysinfo.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_top.vhd<br />~ /neorv32/trunk/rtl/processor_templates/README.md<br />~ /neorv32/trunk/rtl/README.md<br />~ /neorv32/trunk/rtl/system_integration/neorv32_ProcessorTop_stdlogic.vhd<br />~ /neorv32/trunk/rtl/system_integration/neorv32_SystemTop_AvalonMM.vhd<br />~ /neorv32/trunk/rtl/system_integration/neorv32_SystemTop_axi4lite.vhd<br />~ /neorv32/trunk/rtl/test_setups/README.md<br />~ /neorv32/trunk/sim/neorv32_tb.vhd<br />~ /neorv32/trunk/sim/simple/neorv32_imem.iram.simple.vhd<br />~ /neorv32/trunk/sim/simple/neorv32_imem.simple.vhd<br />~ /neorv32/trunk/sim/simple/neorv32_tb.simple.vhd<br />~ /neorv32/trunk/sw/bootloader/bootloader.c<br />~ /neorv32/trunk/sw/bootloader/makefile<br />~ /neorv32/trunk/sw/common/crt0.S<br />~ /neorv32/trunk/sw/common/neorv32.ld<br />+ /neorv32/trunk/sw/example/demo_cfu<br />+ /neorv32/trunk/sw/example/demo_cfu/main.c<br />+ /neorv32/trunk/sw/example/demo_cfu/makefile<br />~ /neorv32/trunk/sw/example/demo_gptmr/main.c<br />+ /neorv32/trunk/sw/example/demo_mtime<br />+ /neorv32/trunk/sw/example/demo_mtime/main.c<br />+ /neorv32/trunk/sw/example/demo_mtime/makefile<br />~ /neorv32/trunk/sw/example/dhrystone/README.md<br />~ /neorv32/trunk/sw/example/floating_point_test/main.c<br />+ /neorv32/trunk/sw/example/newlib_demo<br />+ /neorv32/trunk/sw/example/newlib_demo/main.c<br />+ /neorv32/trunk/sw/example/newlib_demo/makefile<br />~ /neorv32/trunk/sw/lib/include/neorv32.h<br />+ /neorv32/trunk/sw/lib/include/neorv32_cpu_cfu.h<br />~ /neorv32/trunk/sw/lib/include/neorv32_intrinsics.h<br />~ /neorv32/trunk/sw/lib/source/neorv32_cfs.c<br />~ /neorv32/trunk/sw/lib/source/neorv32_cpu.c<br />+ /neorv32/trunk/sw/lib/source/neorv32_cpu_cfu.c<br />~ /neorv32/trunk/sw/lib/source/neorv32_rte.c<br />~ /neorv32/trunk/sw/lib/source/neorv32_uart.c<br />+ /neorv32/trunk/sw/lib/source/syscalls.c<br />~ /neorv32/trunk/sw/openocd/openocd_neorv32.cfg<br />~ /neorv32/trunk/sw/svd/neorv32.svd<br /> zero_gravity Thu, 17 Feb 2022 13:32:31 +0100 https://opencores.org/websvn//websvn/revision?repname=neorv32&path=%2Fneorv32%2Ftrunk%2F&rev=72 bump to v1.6.7 https://opencores.org/websvn//websvn/revision?repname=neorv32&path=%2Fneorv32%2Ftrunk%2F&rev=71 <div><strong>Rev 71 - zero_gravity</strong> (52 file(s) modified)</div><div>bump to v1.6.7</div>~ /neorv32/trunk/.gitignore<br />~ /neorv32/trunk/CHANGELOG.md<br />~ /neorv32/trunk/docs/attrs.adoc<br />~ /neorv32/trunk/docs/datasheet/cpu.adoc<br />~ /neorv32/trunk/docs/datasheet/on_chip_debugger.adoc<br />~ /neorv32/trunk/docs/datasheet/overview.adoc<br />+ /neorv32/trunk/docs/datasheet/rationale.adoc<br />~ /neorv32/trunk/docs/datasheet/soc_cfs.adoc<br />~ /neorv32/trunk/docs/datasheet/soc_icache.adoc<br />+ /neorv32/trunk/docs/README.md<br />~ /neorv32/trunk/docs/userguide/application_program_compilation.adoc<br />~ /neorv32/trunk/docs/userguide/content.adoc<br />~ /neorv32/trunk/docs/userguide/general_hw_setup.adoc<br />~ /neorv32/trunk/docs/userguide/sw_toolchain_setup.adoc<br />~ /neorv32/trunk/README.md<br />~ /neorv32/trunk/rtl/core/neorv32_application_image.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_bootloader_image.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_cfs.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_cpu.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_cpu_alu.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_cpu_bus.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_cpu_control.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_cpu_cp_bitmanip.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_cpu_cp_fpu.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_cpu_cp_muldiv.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_cpu_cp_shifter.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_debug_dm.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_fifo.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_mtime.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_package.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_top.vhd<br />~ /neorv32/trunk/rtl/README.md<br />- /neorv32/trunk/setups<br />~ /neorv32/trunk/sim/README.md<br />~ /neorv32/trunk/sw/example/bitmanip_test/main.c<br />~ /neorv32/trunk/sw/example/bitmanip_test/neorv32_b_extension_intrinsics.h<br />~ /neorv32/trunk/sw/example/coremark/core_portme.c<br />+ /neorv32/trunk/sw/example/demo_cfs<br />+ /neorv32/trunk/sw/example/demo_cfs/main.c<br />+ /neorv32/trunk/sw/example/demo_cfs/makefile<br />~ /neorv32/trunk/sw/example/demo_xip/main.c<br />~ /neorv32/trunk/sw/example/dhrystone/dhrystone.sh<br />~ /neorv32/trunk/sw/example/floating_point_test/neorv32_zfinx_extension_intrinsics.h<br />~ /neorv32/trunk/sw/example/processor_check/main.c<br />~ /neorv32/trunk/sw/example/processor_check/run_check.sh<br />~ /neorv32/trunk/sw/lib/include/neorv32_intrinsics.h<br />~ /neorv32/trunk/sw/lib/source/neorv32_cpu.c<br />~ /neorv32/trunk/sw/lib/source/neorv32_gpio.c<br />~ /neorv32/trunk/sw/lib/source/neorv32_mtime.c<br />~ /neorv32/trunk/sw/lib/source/neorv32_rte.c<br />~ /neorv32/trunk/sw/lib/source/neorv32_xip.c<br />~ /neorv32/trunk/sw/README.md<br /> zero_gravity Fri, 28 Jan 2022 11:33:16 +0100 https://opencores.org/websvn//websvn/revision?repname=neorv32&path=%2Fneorv32%2Ftrunk%2F&rev=71 bump to version 1.6.6 https://opencores.org/websvn//websvn/revision?repname=neorv32&path=%2Fneorv32%2Ftrunk%2F&rev=70 <div><strong>Rev 70 - zero_gravity</strong> (78 file(s) modified)</div><div>bump to version 1.6.6</div>~ /neorv32/trunk/CHANGELOG.md<br />~ /neorv32/trunk/CODE_OF_CONDUCT.md<br />~ /neorv32/trunk/docs/attrs.adoc<br />~ /neorv32/trunk/docs/datasheet/cpu.adoc<br />~ /neorv32/trunk/docs/datasheet/cpu_csr.adoc<br />~ /neorv32/trunk/docs/datasheet/overview.adoc<br />~ /neorv32/trunk/docs/datasheet/soc.adoc<br />~ /neorv32/trunk/docs/datasheet/soc_buskeeper.adoc<br />~ /neorv32/trunk/docs/datasheet/soc_dmem.adoc<br />~ /neorv32/trunk/docs/datasheet/soc_gpio.adoc<br />~ /neorv32/trunk/docs/datasheet/soc_icache.adoc<br />~ /neorv32/trunk/docs/datasheet/soc_imem.adoc<br />~ /neorv32/trunk/docs/datasheet/soc_mtime.adoc<br />~ /neorv32/trunk/docs/datasheet/soc_pwm.adoc<br />~ /neorv32/trunk/docs/datasheet/soc_spi.adoc<br />~ /neorv32/trunk/docs/datasheet/soc_sysinfo.adoc<br />~ /neorv32/trunk/docs/datasheet/soc_wishbone.adoc<br />+ /neorv32/trunk/docs/datasheet/soc_xip.adoc<br />~ /neorv32/trunk/docs/datasheet/soc_xirq.adoc<br />~ /neorv32/trunk/docs/datasheet/software.adoc<br />~ /neorv32/trunk/docs/figures/neorv32_processor.png<br />~ /neorv32/trunk/docs/impressum.md<br />~ /neorv32/trunk/docs/legal.adoc<br />~ /neorv32/trunk/docs/userguide/executable_upload.adoc<br />~ /neorv32/trunk/LICENSE<br />~ /neorv32/trunk/README.md<br />- /neorv32/trunk/rtl/core/mem/neorv32_dmem.cyclone2.vhd<br />+ /neorv32/trunk/rtl/core/mem/neorv32_dmem.legacy.vhd<br />- /neorv32/trunk/rtl/core/mem/neorv32_imem.cyclone2.vhd<br />+ /neorv32/trunk/rtl/core/mem/neorv32_imem.legacy.vhd<br />~ /neorv32/trunk/rtl/core/mem/README.md<br />~ /neorv32/trunk/rtl/core/neorv32_application_image.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_busswitch.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_bus_keeper.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_cfs.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_cpu.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_cpu_alu.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_cpu_bus.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_cpu_control.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_gpio.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_icache.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_package.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_pwm.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_spi.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_sysinfo.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_top.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_wishbone.vhd<br />+ /neorv32/trunk/rtl/core/neorv32_xip.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_xirq.vhd<br />~ /neorv32/trunk/rtl/processor_templates/neorv32_ProcessorTop_Minimal.vhd<br />~ /neorv32/trunk/rtl/processor_templates/neorv32_ProcessorTop_MinimalBoot.vhd<br />~ /neorv32/trunk/rtl/processor_templates/neorv32_ProcessorTop_UP5KDemo.vhd<br />~ /neorv32/trunk/rtl/system_integration/neorv32_ProcessorTop_stdlogic.vhd<br />~ /neorv32/trunk/rtl/system_integration/neorv32_SystemTop_AvalonMM.vhd<br />~ /neorv32/trunk/rtl/system_integration/neorv32_SystemTop_axi4lite.vhd<br />~ /neorv32/trunk/setups/osflow/synthesis.mk<br />~ /neorv32/trunk/setups/radiant/UPduino_v3/neorv32_upduino_v3.rdf<br />~ /neorv32/trunk/setups/radiant/UPduino_v3/neorv32_upduino_v3_top.vhd<br />~ /neorv32/trunk/sim/neorv32_tb.vhd<br />~ /neorv32/trunk/sim/run_riscv_arch_test.sh<br />~ /neorv32/trunk/sim/simple/neorv32_imem.simple.vhd<br />~ /neorv32/trunk/sim/simple/neorv32_tb.simple.vhd<br />+ /neorv32/trunk/sw/example/demo_xip<br />+ /neorv32/trunk/sw/example/demo_xip/main.c<br />+ /neorv32/trunk/sw/example/demo_xip/makefile<br />~ /neorv32/trunk/sw/example/game_of_life/main.c<br />+ /neorv32/trunk/sw/example/hardware_info<br />+ /neorv32/trunk/sw/example/hardware_info/main.c<br />+ /neorv32/trunk/sw/example/hardware_info/makefile<br />~ /neorv32/trunk/sw/example/processor_check/main.c<br />+ /neorv32/trunk/sw/example/processor_check/run_check.sh<br />~ /neorv32/trunk/sw/lib/include/neorv32.h<br />~ /neorv32/trunk/sw/lib/include/neorv32_spi.h<br />+ /neorv32/trunk/sw/lib/include/neorv32_xip.h<br />~ /neorv32/trunk/sw/lib/source/neorv32_rte.c<br />~ /neorv32/trunk/sw/lib/source/neorv32_spi.c<br />+ /neorv32/trunk/sw/lib/source/neorv32_xip.c<br />~ /neorv32/trunk/sw/svd/neorv32.svd<br /> zero_gravity Mon, 17 Jan 2022 03:54:49 +0100 https://opencores.org/websvn//websvn/revision?repname=neorv32&path=%2Fneorv32%2Ftrunk%2F&rev=70 bump to version 1.6.5 https://opencores.org/websvn//websvn/revision?repname=neorv32&path=%2Fneorv32%2Ftrunk%2F&rev=69 <div><strong>Rev 69 - zero_gravity</strong> (89 file(s) modified)</div><div>bump to version 1.6.5</div>~ /neorv32/trunk/CHANGELOG.md<br />~ /neorv32/trunk/CONTRIBUTING.md<br />~ /neorv32/trunk/docs/attrs.adoc<br />~ /neorv32/trunk/docs/datasheet/cpu.adoc<br />~ /neorv32/trunk/docs/datasheet/cpu_csr.adoc<br />~ /neorv32/trunk/docs/datasheet/overview.adoc<br />~ /neorv32/trunk/docs/datasheet/soc.adoc<br />~ /neorv32/trunk/docs/datasheet/soc_cfs.adoc<br />~ /neorv32/trunk/docs/datasheet/soc_gptmr.adoc<br />~ /neorv32/trunk/docs/datasheet/soc_neoled.adoc<br />~ /neorv32/trunk/docs/datasheet/soc_slink.adoc<br />~ /neorv32/trunk/docs/datasheet/soc_spi.adoc<br />~ /neorv32/trunk/docs/datasheet/soc_sysinfo.adoc<br />~ /neorv32/trunk/docs/datasheet/soc_twi.adoc<br />~ /neorv32/trunk/docs/datasheet/soc_uart.adoc<br />~ /neorv32/trunk/docs/datasheet/soc_wdt.adoc<br />~ /neorv32/trunk/docs/datasheet/soc_wishbone.adoc<br />~ /neorv32/trunk/docs/datasheet/soc_xirq.adoc<br />~ /neorv32/trunk/docs/datasheet/software.adoc<br />~ /neorv32/trunk/docs/figures/license.md<br />~ /neorv32/trunk/docs/legal.adoc<br />+ /neorv32/trunk/docs/userguide/adding_custom_hw_modules.adoc<br />+ /neorv32/trunk/docs/userguide/application_program_compilation.adoc<br />+ /neorv32/trunk/docs/userguide/application_specific_configuration.adoc<br />+ /neorv32/trunk/docs/userguide/building_the_documentation.adoc<br />~ /neorv32/trunk/docs/userguide/content.adoc<br />+ /neorv32/trunk/docs/userguide/customizing_the_bootloader.adoc<br />+ /neorv32/trunk/docs/userguide/debugging_with_ocd.adoc<br />+ /neorv32/trunk/docs/userguide/enabling_riscv_extensions.adoc<br />+ /neorv32/trunk/docs/userguide/executable_upload.adoc<br />+ /neorv32/trunk/docs/userguide/free_rtos_support.adoc<br />+ /neorv32/trunk/docs/userguide/general_hw_setup.adoc<br />+ /neorv32/trunk/docs/userguide/general_sw_framework_setup.adoc<br />+ /neorv32/trunk/docs/userguide/installing_an_executable.adoc<br />+ /neorv32/trunk/docs/userguide/new_application_project.adoc<br />+ /neorv32/trunk/docs/userguide/packaging_vivado.adoc<br />+ /neorv32/trunk/docs/userguide/programming_an_external_spi_flash_via_bootloader.adoc<br />+ /neorv32/trunk/docs/userguide/riscv_architecture_tests.adoc<br />+ /neorv32/trunk/docs/userguide/simulating_the_processor.adoc<br />+ /neorv32/trunk/docs/userguide/sw_toolchain_setup.adoc<br />+ /neorv32/trunk/docs/userguide/zephyr_support.adoc<br />~ /neorv32/trunk/README.md<br />~ /neorv32/trunk/rtl/core/neorv32_bootloader_image.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_bus_keeper.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_cfs.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_cpu.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_cpu_control.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_cpu_cp_muldiv.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_gptmr.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_neoled.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_package.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_slink.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_spi.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_sysinfo.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_top.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_twi.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_uart.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_wdt.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_wishbone.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_xirq.vhd<br />~ /neorv32/trunk/rtl/processor_templates/README.md<br />~ /neorv32/trunk/rtl/system_integration/neorv32_SystemTop_axi4lite.vhd<br />~ /neorv32/trunk/rtl/test_setups/README.md<br />~ /neorv32/trunk/setups/osflow/README.md<br />~ /neorv32/trunk/sim/neorv32_tb.vhd<br />~ /neorv32/trunk/sim/simple/neorv32_tb.simple.vhd<br />~ /neorv32/trunk/sw/bootloader/bootloader.c<br />~ /neorv32/trunk/sw/common/common.mk<br />~ /neorv32/trunk/sw/example/bus_explorer/main.c<br />~ /neorv32/trunk/sw/example/demo_gptmr/main.c<br />+ /neorv32/trunk/sw/example/demo_slink<br />+ /neorv32/trunk/sw/example/demo_slink/main.c<br />+ /neorv32/trunk/sw/example/demo_slink/makefile<br />~ /neorv32/trunk/sw/example/demo_spi/main.c<br />~ /neorv32/trunk/sw/example/demo_trng/main.c<br />~ /neorv32/trunk/sw/example/processor_check/main.c<br />~ /neorv32/trunk/sw/lib/include/neorv32.h<br />~ /neorv32/trunk/sw/lib/include/neorv32_gptmr.h<br />- /neorv32/trunk/sw/lib/include/neorv32_legacy.h<br />~ /neorv32/trunk/sw/lib/include/neorv32_uart.h<br />~ /neorv32/trunk/sw/lib/source/neorv32_gpio.c<br />~ /neorv32/trunk/sw/lib/source/neorv32_gptmr.c<br />~ /neorv32/trunk/sw/lib/source/neorv32_uart.c<br />~ /neorv32/trunk/sw/lib/source/neorv32_xirq.c<br />~ /neorv32/trunk/sw/openocd/openocd_neorv32.cfg<br />~ /neorv32/trunk/sw/README.md<br />+ /neorv32/trunk/sw/svd<br />+ /neorv32/trunk/sw/svd/neorv32.svd<br />+ /neorv32/trunk/sw/svd/README.md<br /> zero_gravity Thu, 16 Dec 2021 17:15:20 +0100 https://opencores.org/websvn//websvn/revision?repname=neorv32&path=%2Fneorv32%2Ftrunk%2F&rev=69 bump to v1.6.4 https://opencores.org/websvn//websvn/revision?repname=neorv32&path=%2Fneorv32%2Ftrunk%2F&rev=68 <div><strong>Rev 68 - zero_gravity</strong> (88 file(s) modified)</div><div>bump to v1.6.4</div>~ /neorv32/trunk/CHANGELOG.md<br />~ /neorv32/trunk/docs/attrs.adoc<br />~ /neorv32/trunk/docs/datasheet/cpu.adoc<br />~ /neorv32/trunk/docs/datasheet/on_chip_debugger.adoc<br />~ /neorv32/trunk/docs/datasheet/overview.adoc<br />~ /neorv32/trunk/docs/datasheet/soc.adoc<br />~ /neorv32/trunk/docs/datasheet/soc_buskeeper.adoc<br />~ /neorv32/trunk/docs/datasheet/soc_neoled.adoc<br />~ /neorv32/trunk/docs/datasheet/soc_slink.adoc<br />~ /neorv32/trunk/docs/datasheet/soc_spi.adoc<br />~ /neorv32/trunk/docs/datasheet/soc_trng.adoc<br />~ /neorv32/trunk/docs/datasheet/soc_twi.adoc<br />~ /neorv32/trunk/docs/datasheet/soc_uart.adoc<br />~ /neorv32/trunk/docs/datasheet/software.adoc<br />+ /neorv32/trunk/docs/figures/riscv_logo_small.png<br />~ /neorv32/trunk/docs/userguide/content.adoc<br />~ /neorv32/trunk/README.md<br />+ /neorv32/trunk/rtl/core/mem/neorv32_dmem.cyclone2.vhd<br />~ /neorv32/trunk/rtl/core/mem/neorv32_dmem.default.vhd<br />+ /neorv32/trunk/rtl/core/mem/neorv32_imem.cyclone2.vhd<br />~ /neorv32/trunk/rtl/core/mem/neorv32_imem.default.vhd<br />+ /neorv32/trunk/rtl/core/mem/README.md<br />~ /neorv32/trunk/rtl/core/neorv32_bus_keeper.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_cfs.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_cpu.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_cpu_alu.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_cpu_control.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_debug_dtm.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_gptmr.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_neoled.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_package.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_slink.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_spi.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_sysinfo.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_top.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_trng.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_twi.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_uart.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_wdt.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_wishbone.vhd<br />+ /neorv32/trunk/setups/osflow/boards/iCEBreaker.mk<br />~ /neorv32/trunk/setups/osflow/boards/index.mk<br />+ /neorv32/trunk/setups/osflow/board_tops/neorv32_iCEBreaker_BoardTop_MinimalBoot.vhd<br />+ /neorv32/trunk/setups/osflow/board_tops/neorv32_iCEBreaker_BoardTop_UP5KDemo.vhd<br />+ /neorv32/trunk/setups/osflow/constraints/iCEBreaker.pcf<br />~ /neorv32/trunk/setups/osflow/filesets.mk<br />~ /neorv32/trunk/setups/osflow/Makefile<br />~ /neorv32/trunk/setups/osflow/synthesis.mk<br />~ /neorv32/trunk/setups/radiant/UPduino_v3/neorv32_upduino_v3_top.vhd<br />~ /neorv32/trunk/setups/radiant/UPduino_v3/README.md<br />~ /neorv32/trunk/setups/radiant/UPduino_v3/source/impl_1.xcf<br />~ /neorv32/trunk/setups/README.md<br />~ /neorv32/trunk/sim/neorv32_tb.vhd<br />~ /neorv32/trunk/sim/simple/neorv32_tb.simple.vhd<br />~ /neorv32/trunk/sw/bootloader/makefile<br />~ /neorv32/trunk/sw/example/bitmanip_test/makefile<br />~ /neorv32/trunk/sw/example/blink_led/main.c<br />~ /neorv32/trunk/sw/example/blink_led/makefile<br />+ /neorv32/trunk/sw/example/bus_explorer<br />+ /neorv32/trunk/sw/example/bus_explorer/main.c<br />+ /neorv32/trunk/sw/example/bus_explorer/makefile<br />~ /neorv32/trunk/sw/example/coremark/makefile<br />~ /neorv32/trunk/sw/example/demo_freeRTOS/makefile<br />~ /neorv32/trunk/sw/example/demo_gptmr/makefile<br />~ /neorv32/trunk/sw/example/demo_neopixel/makefile<br />~ /neorv32/trunk/sw/example/demo_pwm/makefile<br />+ /neorv32/trunk/sw/example/demo_spi<br />+ /neorv32/trunk/sw/example/demo_spi/main.c<br />+ /neorv32/trunk/sw/example/demo_spi/makefile<br />~ /neorv32/trunk/sw/example/demo_trng/main.c<br />~ /neorv32/trunk/sw/example/demo_trng/makefile<br />~ /neorv32/trunk/sw/example/demo_twi/main.c<br />~ /neorv32/trunk/sw/example/demo_twi/makefile<br />~ /neorv32/trunk/sw/example/demo_wdt/makefile<br />~ /neorv32/trunk/sw/example/demo_xirq/makefile<br />~ /neorv32/trunk/sw/example/dhrystone/makefile<br />~ /neorv32/trunk/sw/example/floating_point_test/makefile<br />~ /neorv32/trunk/sw/example/game_of_life/makefile<br />~ /neorv32/trunk/sw/example/hello_world/makefile<br />- /neorv32/trunk/sw/example/hex_viewer<br />~ /neorv32/trunk/sw/example/processor_check/main.c<br />~ /neorv32/trunk/sw/example/processor_check/makefile<br />~ /neorv32/trunk/sw/lib/include/neorv32.h<br />~ /neorv32/trunk/sw/lib/include/neorv32_cpu.h<br />~ /neorv32/trunk/sw/lib/include/neorv32_twi.h<br />~ /neorv32/trunk/sw/lib/source/neorv32_rte.c<br />~ /neorv32/trunk/sw/lib/source/neorv32_trng.c<br />~ /neorv32/trunk/sw/lib/source/neorv32_twi.c<br /> zero_gravity Fri, 26 Nov 2021 05:59:38 +0100 https://opencores.org/websvn//websvn/revision?repname=neorv32&path=%2Fneorv32%2Ftrunk%2F&rev=68 bump to version v1.6.3.1 https://opencores.org/websvn//websvn/revision?repname=neorv32&path=%2Fneorv32%2Ftrunk%2F&rev=67 <div><strong>Rev 67 - zero_gravity</strong> (30 file(s) modified)</div><div>bump to version v1.6.3.1</div>~ /neorv32/trunk/CHANGELOG.md<br />~ /neorv32/trunk/docs/datasheet/index.adoc<br />~ /neorv32/trunk/docs/datasheet/overview.adoc<br />~ /neorv32/trunk/docs/datasheet/soc.adoc<br />+ /neorv32/trunk/docs/datasheet/soc_gptmr.adoc<br />~ /neorv32/trunk/docs/datasheet/software.adoc<br />~ /neorv32/trunk/docs/figures/neorv32_processor.png<br />~ /neorv32/trunk/docs/userguide/index.adoc<br />~ /neorv32/trunk/README.md<br />~ /neorv32/trunk/rtl/core/neorv32_application_image.vhd<br />+ /neorv32/trunk/rtl/core/neorv32_gptmr.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_package.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_sysinfo.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_top.vhd<br />~ /neorv32/trunk/rtl/system_integration/neorv32_ProcessorTop_stdlogic.vhd<br />~ /neorv32/trunk/rtl/system_integration/neorv32_SystemTop_AvalonMM.vhd<br />~ /neorv32/trunk/rtl/system_integration/neorv32_SystemTop_axi4lite.vhd<br />~ /neorv32/trunk/setups/osflow/filesets.mk<br />~ /neorv32/trunk/setups/radiant/UPduino_v3/neorv32_upduino_v3.rdf<br />~ /neorv32/trunk/sim/neorv32_tb.vhd<br />~ /neorv32/trunk/sim/simple/neorv32_tb.simple.vhd<br />~ /neorv32/trunk/sw/common/common.mk<br />+ /neorv32/trunk/sw/example/demo_gptmr<br />+ /neorv32/trunk/sw/example/demo_gptmr/main.c<br />+ /neorv32/trunk/sw/example/demo_gptmr/makefile<br />~ /neorv32/trunk/sw/example/processor_check/main.c<br />~ /neorv32/trunk/sw/lib/include/neorv32.h<br />+ /neorv32/trunk/sw/lib/include/neorv32_gptmr.h<br />+ /neorv32/trunk/sw/lib/source/neorv32_gptmr.c<br />~ /neorv32/trunk/sw/lib/source/neorv32_rte.c<br /> zero_gravity Wed, 03 Nov 2021 15:21:57 +0100 https://opencores.org/websvn//websvn/revision?repname=neorv32&path=%2Fneorv32%2Ftrunk%2F&rev=67 bump to version v1.6.3 https://opencores.org/websvn//websvn/revision?repname=neorv32&path=%2Fneorv32%2Ftrunk%2F&rev=66 <div><strong>Rev 66 - zero_gravity</strong> (75 file(s) modified)</div><div>bump to version v1.6.3</div>~ /neorv32/trunk/CHANGELOG.md<br />~ /neorv32/trunk/docs/attrs.adoc<br />~ /neorv32/trunk/docs/datasheet/cpu.adoc<br />~ /neorv32/trunk/docs/datasheet/cpu_csr.adoc<br />~ /neorv32/trunk/docs/datasheet/on_chip_debugger.adoc<br />~ /neorv32/trunk/docs/datasheet/overview.adoc<br />~ /neorv32/trunk/docs/datasheet/soc.adoc<br />+ /neorv32/trunk/docs/datasheet/soc_buskeeper.adoc<br />~ /neorv32/trunk/docs/datasheet/soc_imem.adoc<br />~ /neorv32/trunk/docs/datasheet/soc_pwm.adoc<br />~ /neorv32/trunk/docs/datasheet/soc_spi.adoc<br />~ /neorv32/trunk/docs/datasheet/soc_sysinfo.adoc<br />~ /neorv32/trunk/docs/datasheet/soc_twi.adoc<br />~ /neorv32/trunk/docs/datasheet/soc_uart.adoc<br />~ /neorv32/trunk/docs/datasheet/soc_wdt.adoc<br />~ /neorv32/trunk/docs/datasheet/software.adoc<br />~ /neorv32/trunk/docs/figures/neorv32_boot_configurations.png<br />~ /neorv32/trunk/docs/figures/neorv32_processor.png<br />~ /neorv32/trunk/docs/references/riscv-privileged.pdf<br />~ /neorv32/trunk/docs/references/riscv-spec.pdf<br />~ /neorv32/trunk/docs/userguide/content.adoc<br />~ /neorv32/trunk/README.md<br />~ /neorv32/trunk/rtl/core/neorv32_application_image.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_bootloader_image.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_busswitch.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_bus_keeper.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_cpu.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_cpu_alu.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_cpu_control.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_cpu_cp_bitmanip.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_cpu_cp_shifter.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_gpio.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_mtime.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_package.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_pwm.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_spi.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_sysinfo.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_top.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_trng.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_twi.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_uart.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_wishbone.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_xirq.vhd<br />~ /neorv32/trunk/rtl/processor_templates/neorv32_ProcessorTop_Minimal.vhd<br />~ /neorv32/trunk/rtl/processor_templates/neorv32_ProcessorTop_MinimalBoot.vhd<br />~ /neorv32/trunk/rtl/processor_templates/neorv32_ProcessorTop_UP5KDemo.vhd<br />~ /neorv32/trunk/rtl/system_integration/neorv32_ProcessorTop_stdlogic.vhd<br />~ /neorv32/trunk/rtl/system_integration/neorv32_SystemTop_AvalonMM.vhd<br />~ /neorv32/trunk/rtl/system_integration/neorv32_SystemTop_axi4lite.vhd<br />~ /neorv32/trunk/rtl/test_setups/neorv32_test_setup_approm.vhd<br />~ /neorv32/trunk/rtl/test_setups/neorv32_test_setup_bootloader.vhd<br />~ /neorv32/trunk/setups/osflow/board_tops/neorv32_ULX3S_BoardTop_MinimalBoot.vhd<br />~ /neorv32/trunk/setups/quartus/de0-nano-test-setup-avalonmm-wrapper/neorv32_test_setup_avalonmm.vhd<br />~ /neorv32/trunk/setups/radiant/UPduino_v3/neorv32_upduino_v3_top.vhd<br />~ /neorv32/trunk/sim/neorv32_tb.vhd<br />~ /neorv32/trunk/sim/simple/neorv32_tb.simple.vhd<br />~ /neorv32/trunk/sw/bootloader/bootloader.c<br />~ /neorv32/trunk/sw/common/crt0.S<br />~ /neorv32/trunk/sw/example/bitmanip_test/main.c<br />~ /neorv32/trunk/sw/example/bitmanip_test/neorv32_b_extension_intrinsics.h<br />~ /neorv32/trunk/sw/example/bitmanip_test/README.md<br />~ /neorv32/trunk/sw/example/hex_viewer/main.c<br />~ /neorv32/trunk/sw/example/processor_check/main.c<br />~ /neorv32/trunk/sw/lib/include/neorv32.h<br />~ /neorv32/trunk/sw/lib/include/neorv32_spi.h<br />~ /neorv32/trunk/sw/lib/include/neorv32_xirq.h<br />~ /neorv32/trunk/sw/lib/README.md<br />~ /neorv32/trunk/sw/lib/source/neorv32_cpu.c<br />~ /neorv32/trunk/sw/lib/source/neorv32_neoled.c<br />~ /neorv32/trunk/sw/lib/source/neorv32_rte.c<br />~ /neorv32/trunk/sw/lib/source/neorv32_slink.c<br />~ /neorv32/trunk/sw/lib/source/neorv32_spi.c<br />~ /neorv32/trunk/sw/lib/source/neorv32_uart.c<br />~ /neorv32/trunk/sw/lib/source/neorv32_xirq.c<br />~ /neorv32/trunk/sw/README.md<br /> zero_gravity Tue, 02 Nov 2021 09:34:21 +0100 https://opencores.org/websvn//websvn/revision?repname=neorv32&path=%2Fneorv32%2Ftrunk%2F&rev=66 bump to version 1.6.2.2 https://opencores.org/websvn//websvn/revision?repname=neorv32&path=%2Fneorv32%2Ftrunk%2F&rev=65 <div><strong>Rev 65 - zero_gravity</strong> (91 file(s) modified)</div><div>bump to version 1.6.2.2</div>~ /neorv32/trunk/.gitmodules<br />~ /neorv32/trunk/CHANGELOG.md<br />~ /neorv32/trunk/do.py<br />~ /neorv32/trunk/docs/attrs.adoc<br />~ /neorv32/trunk/docs/datasheet/cpu.adoc<br />~ /neorv32/trunk/docs/datasheet/cpu_csr.adoc<br />~ /neorv32/trunk/docs/datasheet/on_chip_debugger.adoc<br />~ /neorv32/trunk/docs/datasheet/overview.adoc<br />~ /neorv32/trunk/docs/datasheet/soc.adoc<br />~ /neorv32/trunk/docs/datasheet/soc_cfs.adoc<br />~ /neorv32/trunk/docs/datasheet/soc_imem.adoc<br />~ /neorv32/trunk/docs/datasheet/soc_mtime.adoc<br />~ /neorv32/trunk/docs/datasheet/soc_neoled.adoc<br />~ /neorv32/trunk/docs/datasheet/soc_slink.adoc<br />~ /neorv32/trunk/docs/datasheet/soc_spi.adoc<br />~ /neorv32/trunk/docs/datasheet/soc_sysinfo.adoc<br />~ /neorv32/trunk/docs/datasheet/soc_twi.adoc<br />~ /neorv32/trunk/docs/datasheet/soc_uart.adoc<br />~ /neorv32/trunk/docs/datasheet/soc_wdt.adoc<br />~ /neorv32/trunk/docs/datasheet/soc_wishbone.adoc<br />~ /neorv32/trunk/docs/datasheet/soc_xirq.adoc<br />~ /neorv32/trunk/docs/datasheet/software.adoc<br />~ /neorv32/trunk/docs/figures/address_space.png<br />+ /neorv32/trunk/docs/figures/license.md<br />+ /neorv32/trunk/docs/figures/SPI_timing_diagram2.wikimedia.png<br />~ /neorv32/trunk/docs/legal.adoc<br />~ /neorv32/trunk/docs/userguide/content.adoc<br />~ /neorv32/trunk/README.md<br />~ /neorv32/trunk/rtl/core/neorv32_application_image.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_bootloader_image.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_cfs.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_cpu.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_cpu_alu.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_cpu_control.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_cpu_decompressor.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_cpu_regfile.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_fifo.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_mtime.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_neoled.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_package.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_slink.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_spi.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_top.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_twi.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_uart.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_wdt.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_xirq.vhd<br />~ /neorv32/trunk/rtl/system_integration/neorv32_ProcessorTop_stdlogic.vhd<br />~ /neorv32/trunk/rtl/system_integration/neorv32_SystemTop_AvalonMM.vhd<br />~ /neorv32/trunk/rtl/system_integration/neorv32_SystemTop_axi4lite.vhd<br />~ /neorv32/trunk/setups/radiant/UPduino_v3/neorv32_upduino_v3_top.vhd<br />~ /neorv32/trunk/setups/radiant/UPduino_v3/README.md<br />~ /neorv32/trunk/setups/README.md<br />~ /neorv32/trunk/setups/vivado/arty-a7-test-setup/create_project.tcl<br />~ /neorv32/trunk/setups/vivado/nexys-a7-test-setup/create_project.tcl<br />~ /neorv32/trunk/setups/vivado/README.md<br />~ /neorv32/trunk/sim/neorv32_tb.vhd<br />~ /neorv32/trunk/sim/simple/neorv32_tb.simple.vhd<br />~ /neorv32/trunk/sw/bootloader/bootloader.c<br />~ /neorv32/trunk/sw/common/common.mk<br />~ /neorv32/trunk/sw/example/bitmanip_test/main.c<br />~ /neorv32/trunk/sw/example/blink_led/main.c<br />~ /neorv32/trunk/sw/example/coremark/core_portme.c<br />~ /neorv32/trunk/sw/example/coremark/core_portme.h<br />~ /neorv32/trunk/sw/example/coremark/ee_printf.c<br />~ /neorv32/trunk/sw/example/coremark/README.md<br />~ /neorv32/trunk/sw/example/demo_freeRTOS/main.c<br />~ /neorv32/trunk/sw/example/demo_freeRTOS/makefile<br />~ /neorv32/trunk/sw/example/demo_neopixel/main.c<br />~ /neorv32/trunk/sw/example/demo_trng/main.c<br />~ /neorv32/trunk/sw/example/demo_twi/main.c<br />~ /neorv32/trunk/sw/example/demo_wdt/main.c<br />~ /neorv32/trunk/sw/example/dhrystone/dhrystone.sh<br />~ /neorv32/trunk/sw/example/dhrystone/dhry_1.c<br />~ /neorv32/trunk/sw/example/floating_point_test/main.c<br />~ /neorv32/trunk/sw/example/floating_point_test/README.md<br />~ /neorv32/trunk/sw/example/game_of_life/main.c<br />~ /neorv32/trunk/sw/example/hello_world/main.c<br />~ /neorv32/trunk/sw/example/hex_viewer/main.c<br />~ /neorv32/trunk/sw/example/processor_check/main.c<br />~ /neorv32/trunk/sw/lib/include/neorv32.h<br />~ /neorv32/trunk/sw/lib/include/neorv32_cpu.h<br />~ /neorv32/trunk/sw/lib/include/neorv32_slink.h<br />~ /neorv32/trunk/sw/lib/include/neorv32_spi.h<br />~ /neorv32/trunk/sw/lib/include/neorv32_uart.h<br />~ /neorv32/trunk/sw/lib/source/neorv32_cpu.c<br />~ /neorv32/trunk/sw/lib/source/neorv32_rte.c<br />~ /neorv32/trunk/sw/lib/source/neorv32_slink.c<br />~ /neorv32/trunk/sw/lib/source/neorv32_spi.c<br />~ /neorv32/trunk/sw/lib/source/neorv32_uart.c<br />~ /neorv32/trunk/sw/ocd-firmware/makefile<br /> zero_gravity Mon, 18 Oct 2021 16:38:28 +0100 https://opencores.org/websvn//websvn/revision?repname=neorv32&path=%2Fneorv32%2Ftrunk%2F&rev=65 bump to version 1.6.1 see CHANGELOG.md for more information https://opencores.org/websvn//websvn/revision?repname=neorv32&path=%2Fneorv32%2Ftrunk%2F&rev=64 <div><strong>Rev 64 - zero_gravity</strong> (145 file(s) modified)</div><div>bump to version 1.6.1<br /> see CHANGELOG.md for more information</div>~ /neorv32/trunk/.gitignore<br />~ /neorv32/trunk/CHANGELOG.md<br />+ /neorv32/trunk/do.py<br />~ /neorv32/trunk/docs/attrs.adoc<br />~ /neorv32/trunk/docs/datasheet/cpu.adoc<br />~ /neorv32/trunk/docs/datasheet/cpu_csr.adoc<br />~ /neorv32/trunk/docs/datasheet/on_chip_debugger.adoc<br />~ /neorv32/trunk/docs/datasheet/overview.adoc<br />~ /neorv32/trunk/docs/datasheet/soc.adoc<br />~ /neorv32/trunk/docs/datasheet/soc_cfs.adoc<br />~ /neorv32/trunk/docs/datasheet/soc_dmem.adoc<br />~ /neorv32/trunk/docs/datasheet/soc_gpio.adoc<br />~ /neorv32/trunk/docs/datasheet/soc_imem.adoc<br />~ /neorv32/trunk/docs/datasheet/soc_mtime.adoc<br />~ /neorv32/trunk/docs/datasheet/soc_neoled.adoc<br />~ /neorv32/trunk/docs/datasheet/soc_pwm.adoc<br />~ /neorv32/trunk/docs/datasheet/soc_slink.adoc<br />~ /neorv32/trunk/docs/datasheet/soc_spi.adoc<br />~ /neorv32/trunk/docs/datasheet/soc_sysinfo.adoc<br />~ /neorv32/trunk/docs/datasheet/soc_trng.adoc<br />~ /neorv32/trunk/docs/datasheet/soc_twi.adoc<br />~ /neorv32/trunk/docs/datasheet/soc_uart.adoc<br />~ /neorv32/trunk/docs/datasheet/soc_wdt.adoc<br />~ /neorv32/trunk/docs/datasheet/soc_wishbone.adoc<br />~ /neorv32/trunk/docs/datasheet/soc_xirq.adoc<br />~ /neorv32/trunk/docs/datasheet/software.adoc<br />~ /neorv32/trunk/docs/figures/neorv32_processor.png<br />~ /neorv32/trunk/docs/userguide/content.adoc<br />~ /neorv32/trunk/README.md<br />+ /neorv32/trunk/rtl/core/mem<br />+ /neorv32/trunk/rtl/core/mem/neorv32_dmem.default.vhd<br />+ /neorv32/trunk/rtl/core/mem/neorv32_imem.default.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_application_image.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_bootloader_image.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_cfs.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_cpu.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_cpu_bus.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_cpu_control.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_debug_dm.vhd<br />+ /neorv32/trunk/rtl/core/neorv32_dmem.entity.vhd<br />- /neorv32/trunk/rtl/core/neorv32_dmem.vhd<br />+ /neorv32/trunk/rtl/core/neorv32_imem.entity.vhd<br />- /neorv32/trunk/rtl/core/neorv32_imem.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_mtime.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_package.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_top.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_xirq.vhd<br />~ /neorv32/trunk/rtl/processor_templates/neorv32_ProcessorTop_Minimal.vhd<br />~ /neorv32/trunk/rtl/processor_templates/neorv32_ProcessorTop_MinimalBoot.vhd<br />~ /neorv32/trunk/rtl/processor_templates/neorv32_ProcessorTop_UP5KDemo.vhd<br />~ /neorv32/trunk/rtl/README.md<br />~ /neorv32/trunk/rtl/system_integration/neorv32_ProcessorTop_stdlogic.vhd<br />+ /neorv32/trunk/rtl/system_integration/neorv32_SystemTop_AvalonMM.vhd<br />~ /neorv32/trunk/rtl/system_integration/neorv32_SystemTop_axi4lite.vhd<br />~ /neorv32/trunk/setups/osflow/devices/ice40/neorv32_dmem.ice40up_spram.vhd<br />~ /neorv32/trunk/setups/osflow/devices/ice40/neorv32_imem.ice40up_spram.vhd<br />~ /neorv32/trunk/setups/osflow/filesets.mk<br />~ /neorv32/trunk/setups/osflow/Makefile<br />+ /neorv32/trunk/setups/quartus/de0-nano-test-setup-avalonmm-wrapper<br />+ /neorv32/trunk/setups/quartus/de0-nano-test-setup-avalonmm-wrapper/.gitignore<br />+ /neorv32/trunk/setups/quartus/de0-nano-test-setup-avalonmm-wrapper/de0-nano-test-setup.qpf<br />+ /neorv32/trunk/setups/quartus/de0-nano-test-setup-avalonmm-wrapper/de0-nano-test-setup.qsf<br />+ /neorv32/trunk/setups/quartus/de0-nano-test-setup-avalonmm-wrapper/dmem_ram.qip<br />+ /neorv32/trunk/setups/quartus/de0-nano-test-setup-avalonmm-wrapper/dmem_ram.vhd<br />+ /neorv32/trunk/setups/quartus/de0-nano-test-setup-avalonmm-wrapper/neorv32_test_setup_avalonmm.vhd<br />+ /neorv32/trunk/setups/quartus/de0-nano-test-setup-avalonmm-wrapper/README.md<br />+ /neorv32/trunk/setups/quartus/de0-nano-test-setup-qsys<br />+ /neorv32/trunk/setups/quartus/de0-nano-test-setup-qsys/.gitignore<br />+ /neorv32/trunk/setups/quartus/de0-nano-test-setup-qsys/de0-nano-test-setup.qpf<br />+ /neorv32/trunk/setups/quartus/de0-nano-test-setup-qsys/de0-nano-test-setup.qsf<br />+ /neorv32/trunk/setups/quartus/de0-nano-test-setup-qsys/de0-nano-test-setup.sdc<br />+ /neorv32/trunk/setups/quartus/de0-nano-test-setup-qsys/figures<br />+ /neorv32/trunk/setups/quartus/de0-nano-test-setup-qsys/figures/neorv32_platform_designer.png<br />+ /neorv32/trunk/setups/quartus/de0-nano-test-setup-qsys/neorv32_ProcessorTop_Test.vhd<br />+ /neorv32/trunk/setups/quartus/de0-nano-test-setup-qsys/neorv32_test_qsys.qsys<br />+ /neorv32/trunk/setups/quartus/de0-nano-test-setup-qsys/README.md<br />+ /neorv32/trunk/setups/quartus/de0-nano-test-setup-qsys/User_Components.ipx<br />~ /neorv32/trunk/setups/quartus/de0-nano-test-setup/create_project.tcl<br />+ /neorv32/trunk/setups/quartus/neorv32_qsys_component<br />+ /neorv32/trunk/setups/quartus/neorv32_qsys_component/figures<br />+ /neorv32/trunk/setups/quartus/neorv32_qsys_component/figures/gui_settings.png<br />+ /neorv32/trunk/setups/quartus/neorv32_qsys_component/figures/overview.png<br />+ /neorv32/trunk/setups/quartus/neorv32_qsys_component/neorv32_qsys.qip<br />+ /neorv32/trunk/setups/quartus/neorv32_qsys_component/neorv32_qsys.vhd<br />+ /neorv32/trunk/setups/quartus/neorv32_qsys_component/neorv32_qsys_hw.tcl<br />+ /neorv32/trunk/setups/quartus/neorv32_qsys_component/README.md<br />~ /neorv32/trunk/setups/radiant/UPduino_v3/neorv32_dmem.ice40up_spram.vhd<br />~ /neorv32/trunk/setups/radiant/UPduino_v3/neorv32_imem.ice40up_spram.vhd<br />~ /neorv32/trunk/setups/radiant/UPduino_v3/neorv32_upduino_v3.rdf<br />~ /neorv32/trunk/setups/README.md<br />~ /neorv32/trunk/setups/vivado/arty-a7-test-setup/create_project.tcl<br />~ /neorv32/trunk/setups/vivado/nexys-a7-test-setup/create_project.tcl<br />- /neorv32/trunk/sim/ghdl.run.sh<br />- /neorv32/trunk/sim/ghdl.setup.sh<br />- /neorv32/trunk/sim/ghdl.sh<br />- /neorv32/trunk/sim/neorv32_imem.iram.simple.vhd<br />- /neorv32/trunk/sim/neorv32_imem.simple.vhd<br />- /neorv32/trunk/sim/neorv32_tb.simple.vhd<br />~ /neorv32/trunk/sim/neorv32_tb.vhd<br />~ /neorv32/trunk/sim/README.md<br />~ /neorv32/trunk/sim/run.py<br />~ /neorv32/trunk/sim/run_riscv_arch_test.sh<br />+ /neorv32/trunk/sim/simple<br />+ /neorv32/trunk/sim/simple/ghdl.run.sh<br />+ /neorv32/trunk/sim/simple/ghdl.setup.sh<br />+ /neorv32/trunk/sim/simple/ghdl.sh<br />+ /neorv32/trunk/sim/simple/neorv32_imem.iram.simple.vhd<br />+ /neorv32/trunk/sim/simple/neorv32_imem.simple.vhd<br />+ /neorv32/trunk/sim/simple/neorv32_tb.simple.vhd<br />+ /neorv32/trunk/sim/simple/uart_rx.simple.vhd<br />- /neorv32/trunk/sim/uart_rx.simple.vhd<br />~ /neorv32/trunk/sw/bootloader/bootloader.c<br />~ /neorv32/trunk/sw/common/common.mk<br />~ /neorv32/trunk/sw/example/bitmanip_test/main.c<br />~ /neorv32/trunk/sw/example/coremark/core_portme.c<br />~ /neorv32/trunk/sw/example/demo_neopixel/main.c<br />~ /neorv32/trunk/sw/example/demo_twi/main.c<br />~ /neorv32/trunk/sw/example/demo_xirq/main.c<br />~ /neorv32/trunk/sw/example/dhrystone/dhry_1.c<br />~ /neorv32/trunk/sw/example/floating_point_test/main.c<br />- /neorv32/trunk/sw/example/processor_check/check.sh<br />~ /neorv32/trunk/sw/example/processor_check/main.c<br />~ /neorv32/trunk/sw/isa-test/common.mk<br />~ /neorv32/trunk/sw/isa-test/port-neorv32/model_test.h<br />~ /neorv32/trunk/sw/lib/include/neorv32.h<br />~ /neorv32/trunk/sw/lib/include/neorv32_cpu.h<br />+ /neorv32/trunk/sw/lib/include/neorv32_legacy.h<br />~ /neorv32/trunk/sw/lib/include/neorv32_neoled.h<br />~ /neorv32/trunk/sw/lib/include/neorv32_rte.h<br />~ /neorv32/trunk/sw/lib/include/neorv32_slink.h<br />~ /neorv32/trunk/sw/lib/include/neorv32_xirq.h<br />~ /neorv32/trunk/sw/lib/source/neorv32_cfs.c<br />~ /neorv32/trunk/sw/lib/source/neorv32_cpu.c<br />~ /neorv32/trunk/sw/lib/source/neorv32_gpio.c<br />~ /neorv32/trunk/sw/lib/source/neorv32_mtime.c<br />~ /neorv32/trunk/sw/lib/source/neorv32_neoled.c<br />~ /neorv32/trunk/sw/lib/source/neorv32_pwm.c<br />~ /neorv32/trunk/sw/lib/source/neorv32_rte.c<br />~ /neorv32/trunk/sw/lib/source/neorv32_slink.c<br />~ /neorv32/trunk/sw/lib/source/neorv32_spi.c<br />~ /neorv32/trunk/sw/lib/source/neorv32_trng.c<br />~ /neorv32/trunk/sw/lib/source/neorv32_twi.c<br />~ /neorv32/trunk/sw/lib/source/neorv32_uart.c<br />~ /neorv32/trunk/sw/lib/source/neorv32_wdt.c<br />~ /neorv32/trunk/sw/lib/source/neorv32_xirq.c<br /> zero_gravity Tue, 28 Sep 2021 14:43:52 +0100 https://opencores.org/websvn//websvn/revision?repname=neorv32&path=%2Fneorv32%2Ftrunk%2F&rev=64 bump to v1.6.0 https://opencores.org/websvn//websvn/revision?repname=neorv32&path=%2Fneorv32%2Ftrunk%2F&rev=63 <div><strong>Rev 63 - zero_gravity</strong> (117 file(s) modified)</div><div>bump to v1.6.0</div>+ /neorv32/trunk/.mailmap<br />~ /neorv32/trunk/CHANGELOG.md<br />~ /neorv32/trunk/docs/attrs.adoc<br />~ /neorv32/trunk/docs/datasheet/cpu.adoc<br />~ /neorv32/trunk/docs/datasheet/cpu_csr.adoc<br />~ /neorv32/trunk/docs/datasheet/overview.adoc<br />~ /neorv32/trunk/docs/datasheet/soc.adoc<br />~ /neorv32/trunk/docs/datasheet/soc_sysinfo.adoc<br />~ /neorv32/trunk/docs/datasheet/soc_wishbone.adoc<br />~ /neorv32/trunk/docs/datasheet/software.adoc<br />~ /neorv32/trunk/docs/figures/neorv32_cpu.png<br />~ /neorv32/trunk/docs/figures/neorv32_processor.png<br />~ /neorv32/trunk/docs/references/bitmanip-draft.pdf<br />~ /neorv32/trunk/docs/references/riscv-privileged.pdf<br />~ /neorv32/trunk/docs/references/riscv-spec.pdf<br />~ /neorv32/trunk/docs/userguide/content.adoc<br />~ /neorv32/trunk/README.md<br />~ /neorv32/trunk/rtl/core/neorv32_bootloader_image.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_cpu.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_cpu_alu.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_cpu_control.vhd<br />+ /neorv32/trunk/rtl/core/neorv32_cpu_cp_bitmanip.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_imem.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_package.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_sysinfo.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_top.vhd<br />+ /neorv32/trunk/rtl/processor_templates<br />+ /neorv32/trunk/rtl/processor_templates/neorv32_ProcessorTop_Minimal.vhd<br />+ /neorv32/trunk/rtl/processor_templates/neorv32_ProcessorTop_MinimalBoot.vhd<br />+ /neorv32/trunk/rtl/processor_templates/neorv32_ProcessorTop_UP5KDemo.vhd<br />+ /neorv32/trunk/rtl/processor_templates/README.md<br />~ /neorv32/trunk/rtl/README.md<br />+ /neorv32/trunk/rtl/system_integration<br />+ /neorv32/trunk/rtl/system_integration/neorv32_ProcessorTop_stdlogic.vhd<br />+ /neorv32/trunk/rtl/system_integration/neorv32_SystemTop_axi4lite.vhd<br />- /neorv32/trunk/rtl/templates<br />+ /neorv32/trunk/rtl/test_setups<br />+ /neorv32/trunk/rtl/test_setups/neorv32_test_setup_approm.vhd<br />+ /neorv32/trunk/rtl/test_setups/neorv32_test_setup_bootloader.vhd<br />+ /neorv32/trunk/rtl/test_setups/README.md<br />- /neorv32/trunk/setups/examples<br />+ /neorv32/trunk/setups/osflow/boards/AlhambraII.mk<br />~ /neorv32/trunk/setups/osflow/boards/index.mk<br />+ /neorv32/trunk/setups/osflow/boards/ULX3S.mk<br />+ /neorv32/trunk/setups/osflow/board_tops<br />+ /neorv32/trunk/setups/osflow/board_tops/neorv32_AlhambraII_BoardTop_MinimalBoot.vhd<br />+ /neorv32/trunk/setups/osflow/board_tops/neorv32_Fomu_BoardTop_Minimal.vhd<br />+ /neorv32/trunk/setups/osflow/board_tops/neorv32_Fomu_BoardTop_MinimalBoot.vhd<br />+ /neorv32/trunk/setups/osflow/board_tops/neorv32_Fomu_BoardTop_MixedLanguage.vhd<br />+ /neorv32/trunk/setups/osflow/board_tops/neorv32_Fomu_BoardTop_UP5KDemo.vhd<br />+ /neorv32/trunk/setups/osflow/board_tops/neorv32_Fomu_MixedLanguage_ClkGen.v<br />+ /neorv32/trunk/setups/osflow/board_tops/neorv32_iCESugar_BoardTop_Minimal.vhd<br />+ /neorv32/trunk/setups/osflow/board_tops/neorv32_iCESugar_BoardTop_MinimalBoot.vhd<br />+ /neorv32/trunk/setups/osflow/board_tops/neorv32_OrangeCrab_BoardTop_MinimalBoot.vhd<br />+ /neorv32/trunk/setups/osflow/board_tops/neorv32_ULX3S_BoardTop_MinimalBoot.vhd<br />+ /neorv32/trunk/setups/osflow/board_tops/neorv32_UPduino_BoardTop_MinimalBoot.vhd<br />+ /neorv32/trunk/setups/osflow/board_tops/neorv32_UPduino_BoardTop_UP5KDemo.vhd<br />+ /neorv32/trunk/setups/osflow/constraints/AlhambraII.pcf<br />+ /neorv32/trunk/setups/osflow/constraints/ULX3S.lpf<br />~ /neorv32/trunk/setups/osflow/filesets.mk<br />+ /neorv32/trunk/setups/osflow/Makefile<br />~ /neorv32/trunk/setups/osflow/README.md<br />~ /neorv32/trunk/setups/quartus/de0-nano-test-setup/create_project.tcl<br />~ /neorv32/trunk/setups/quartus/de0-nano-test-setup/README.md<br />+ /neorv32/trunk/setups/quartus/terasic-cyclone-V-gx-starter-kit-test-setup<br />+ /neorv32/trunk/setups/quartus/terasic-cyclone-V-gx-starter-kit-test-setup/create_project.tcl<br />+ /neorv32/trunk/setups/quartus/terasic-cyclone-V-gx-starter-kit-test-setup/README.md<br />~ /neorv32/trunk/setups/radiant/UPduino_v3/neorv32_upduino_v3.rdf<br />~ /neorv32/trunk/setups/radiant/UPduino_v3/neorv32_upduino_v3_top.vhd<br />~ /neorv32/trunk/setups/README.md<br />~ /neorv32/trunk/setups/vivado/arty-a7-test-setup/create_project.tcl<br />~ /neorv32/trunk/setups/vivado/arty-a7-test-setup/README.md<br />~ /neorv32/trunk/setups/vivado/nexys-a7-test-setup/create_project.tcl<br />~ /neorv32/trunk/setups/vivado/nexys-a7-test-setup/README.md<br />~ /neorv32/trunk/sim/ghdl.setup.sh<br />+ /neorv32/trunk/sim/neorv32_imem.iram.simple.vhd<br />~ /neorv32/trunk/sim/neorv32_tb.simple.vhd<br />~ /neorv32/trunk/sim/neorv32_tb.vhd<br />~ /neorv32/trunk/sim/run_riscv_arch_test.sh<br />~ /neorv32/trunk/sw/bootloader/bootloader.c<br />~ /neorv32/trunk/sw/common/common.mk<br />~ /neorv32/trunk/sw/common/crt0.S<br />+ /neorv32/trunk/sw/example/bitmanip_test<br />+ /neorv32/trunk/sw/example/bitmanip_test/main.c<br />+ /neorv32/trunk/sw/example/bitmanip_test/makefile<br />+ /neorv32/trunk/sw/example/bitmanip_test/neorv32_b_extension_intrinsics.h<br />+ /neorv32/trunk/sw/example/bitmanip_test/README.md<br />+ /neorv32/trunk/sw/example/dhrystone<br />+ /neorv32/trunk/sw/example/dhrystone/dhry.h<br />+ /neorv32/trunk/sw/example/dhrystone/dhrystone.sh<br />+ /neorv32/trunk/sw/example/dhrystone/dhry_1.c<br />+ /neorv32/trunk/sw/example/dhrystone/dhry_2.c<br />+ /neorv32/trunk/sw/example/dhrystone/LICENSE<br />+ /neorv32/trunk/sw/example/dhrystone/makefile<br />+ /neorv32/trunk/sw/example/dhrystone/README.md<br />~ /neorv32/trunk/sw/example/floating_point_test/main.c<br />~ /neorv32/trunk/sw/example/floating_point_test/neorv32_zfinx_extension_intrinsics.h<br />~ /neorv32/trunk/sw/example/processor_check/main.c<br />+ /neorv32/trunk/sw/image_gen/image_gen.c<br />- /neorv32/trunk/sw/image_gen/image_gen.cpp<br />~ /neorv32/trunk/sw/isa-test/common.mk<br />+ /neorv32/trunk/sw/isa-test/port-neorv32/device/rv32e_unratified<br />+ /neorv32/trunk/sw/isa-test/port-neorv32/device/rv32e_unratified/C<br />+ /neorv32/trunk/sw/isa-test/port-neorv32/device/rv32e_unratified/C/Makefile.include<br />+ /neorv32/trunk/sw/isa-test/port-neorv32/device/rv32e_unratified/E<br />+ /neorv32/trunk/sw/isa-test/port-neorv32/device/rv32e_unratified/E/Makefile.include<br />+ /neorv32/trunk/sw/isa-test/port-neorv32/device/rv32e_unratified/M<br />+ /neorv32/trunk/sw/isa-test/port-neorv32/device/rv32e_unratified/M/Makefile.include<br />~ /neorv32/trunk/sw/isa-test/port-neorv32/device/rv32i_m/Zifencei/Makefile.include<br />~ /neorv32/trunk/sw/isa-test/port-neorv32/model_test.h<br />~ /neorv32/trunk/sw/lib/include/neorv32.h<br />~ /neorv32/trunk/sw/lib/include/neorv32_cpu.h<br />~ /neorv32/trunk/sw/lib/include/neorv32_twi.h<br />~ /neorv32/trunk/sw/lib/source/neorv32_cpu.c<br />~ /neorv32/trunk/sw/lib/source/neorv32_rte.c<br />~ /neorv32/trunk/sw/lib/source/neorv32_wdt.c<br />~ /neorv32/trunk/sw/ocd-firmware/makefile<br /> zero_gravity Sat, 11 Sep 2021 17:31:26 +0100 https://opencores.org/websvn//websvn/revision?repname=neorv32&path=%2Fneorv32%2Ftrunk%2F&rev=63 bump to v1.5.9 see CHANGELOG.md for more information https://opencores.org/websvn//websvn/revision?repname=neorv32&path=%2Fneorv32%2Ftrunk%2F&rev=62 <div><strong>Rev 62 - zero_gravity</strong> (156 file(s) modified)</div><div>bump to v1.5.9<br /> see CHANGELOG.md for more information</div>~ /neorv32/trunk/.gitignore<br />~ /neorv32/trunk/.gitmodules<br />~ /neorv32/trunk/CHANGELOG.md<br />~ /neorv32/trunk/docs/attrs.adoc<br />~ /neorv32/trunk/docs/datasheet/cpu.adoc<br />~ /neorv32/trunk/docs/datasheet/cpu_csr.adoc<br />~ /neorv32/trunk/docs/datasheet/on_chip_debugger.adoc<br />~ /neorv32/trunk/docs/datasheet/overview.adoc<br />~ /neorv32/trunk/docs/datasheet/soc.adoc<br />~ /neorv32/trunk/docs/datasheet/soc_neoled.adoc<br />~ /neorv32/trunk/docs/datasheet/soc_slink.adoc<br />~ /neorv32/trunk/docs/datasheet/soc_sysinfo.adoc<br />~ /neorv32/trunk/docs/datasheet/soc_uart.adoc<br />~ /neorv32/trunk/docs/datasheet/soc_wishbone.adoc<br />~ /neorv32/trunk/docs/datasheet/software.adoc<br />~ /neorv32/trunk/docs/figures/neorv32_cpu.png<br />~ /neorv32/trunk/docs/legal.adoc<br />+ /neorv32/trunk/docs/Makefile<br />~ /neorv32/trunk/docs/userguide/content.adoc<br />- /neorv32/trunk/Makefile<br />~ /neorv32/trunk/README.md<br />- /neorv32/trunk/riscv-arch-test<br />~ /neorv32/trunk/rtl/core/neorv32_application_image.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_bootloader_image.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_boot_rom.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_busswitch.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_bus_keeper.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_cfs.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_cpu.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_cpu_alu.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_cpu_bus.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_cpu_control.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_cpu_cp_muldiv.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_cpu_cp_shifter.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_cpu_regfile.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_debug_dm.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_debug_dtm.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_dmem.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_fifo.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_icache.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_imem.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_neoled.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_package.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_pwm.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_slink.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_sysinfo.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_top.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_uart.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_wishbone.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_xirq.vhd<br />~ /neorv32/trunk/rtl/README.md<br />~ /neorv32/trunk/rtl/templates/processor/neorv32_ProcessorTop_stdlogic.vhd<br />~ /neorv32/trunk/rtl/templates/README.md<br />~ /neorv32/trunk/rtl/templates/system/neorv32_SystemTop_axi4lite.vhd<br />~ /neorv32/trunk/setups/examples/Makefile<br />+ /neorv32/trunk/setups/examples/neorv32_OrangeCrab_BoardTop_MinimalBoot.vhd<br />+ /neorv32/trunk/setups/examples/neorv32_UPduino_BoardTop_MinimalBoot.vhd<br />+ /neorv32/trunk/setups/examples/neorv32_UPduino_BoardTop_UP5KDemo.vhd<br />- /neorv32/trunk/setups/examples/neorv32_UPduino_v3_BoardTop_MinimalBoot.vhd<br />- /neorv32/trunk/setups/examples/neorv32_UPduino_v3_BoardTop_UP5KDemo.vhd<br />~ /neorv32/trunk/setups/examples/README.md<br />+ /neorv32/trunk/setups/osflow/.gitignore<br />+ /neorv32/trunk/setups/osflow/boards<br />+ /neorv32/trunk/setups/osflow/boards/Fomu.mk<br />+ /neorv32/trunk/setups/osflow/boards/iCESugar.mk<br />+ /neorv32/trunk/setups/osflow/boards/index.mk<br />+ /neorv32/trunk/setups/osflow/boards/OrangeCrab.mk<br />+ /neorv32/trunk/setups/osflow/boards/UPduino.mk<br />+ /neorv32/trunk/setups/osflow/common.mk<br />+ /neorv32/trunk/setups/osflow/constraints<br />+ /neorv32/trunk/setups/osflow/constraints/Fomu-evt2.pcf<br />+ /neorv32/trunk/setups/osflow/constraints/Fomu-evt3.pcf<br />+ /neorv32/trunk/setups/osflow/constraints/Fomu-hacker.pcf<br />+ /neorv32/trunk/setups/osflow/constraints/Fomu-pvt.pcf<br />+ /neorv32/trunk/setups/osflow/constraints/iCESugar.pcf<br />+ /neorv32/trunk/setups/osflow/constraints/OrangeCrab.lpf<br />+ /neorv32/trunk/setups/osflow/constraints/UPduino_v3.pcf<br />+ /neorv32/trunk/setups/osflow/devices/ecp5<br />+ /neorv32/trunk/setups/osflow/devices/ecp5/ecp5_components.vhd<br />~ /neorv32/trunk/setups/osflow/devices/ice40/sb_ice40_components.vhd<br />~ /neorv32/trunk/setups/osflow/filesets.mk<br />- /neorv32/trunk/setups/osflow/Fomu<br />- /neorv32/trunk/setups/osflow/iCESugar<br />~ /neorv32/trunk/setups/osflow/PnR_Bit.mk<br />+ /neorv32/trunk/setups/osflow/README.md<br />~ /neorv32/trunk/setups/osflow/synthesis.mk<br />~ /neorv32/trunk/setups/osflow/tools.mk<br />- /neorv32/trunk/setups/osflow/UPduino_v3<br />~ /neorv32/trunk/setups/radiant/UPduino_v3/README.md<br />~ /neorv32/trunk/setups/README.md<br />~ /neorv32/trunk/setups/vivado/README.md<br />+ /neorv32/trunk/sim/ghdl.run.sh<br />+ /neorv32/trunk/sim/ghdl.setup.sh<br />+ /neorv32/trunk/sim/ghdl.sh<br />- /neorv32/trunk/sim/ghdl_sim.sh<br />+ /neorv32/trunk/sim/neorv32_imem.simple.vhd<br />~ /neorv32/trunk/sim/neorv32_tb.simple.vhd<br />~ /neorv32/trunk/sim/neorv32_tb.vhd<br />~ /neorv32/trunk/sim/README.md<br />- /neorv32/trunk/sim/rtl_modules<br />+ /neorv32/trunk/sim/run_riscv_arch_test.sh<br />~ /neorv32/trunk/sw/bootloader/makefile<br />+ /neorv32/trunk/sw/common/common.mk<br />~ /neorv32/trunk/sw/common/crt0.S<br />~ /neorv32/trunk/sw/common/neorv32.ld<br />~ /neorv32/trunk/sw/example/blink_led/main.c<br />~ /neorv32/trunk/sw/example/blink_led/makefile<br />~ /neorv32/trunk/sw/example/coremark/makefile<br />~ /neorv32/trunk/sw/example/demo_freeRTOS/makefile<br />~ /neorv32/trunk/sw/example/demo_neopixel/main.c<br />~ /neorv32/trunk/sw/example/demo_neopixel/makefile<br />~ /neorv32/trunk/sw/example/demo_pwm/main.c<br />~ /neorv32/trunk/sw/example/demo_pwm/makefile<br />~ /neorv32/trunk/sw/example/demo_trng/makefile<br />~ /neorv32/trunk/sw/example/demo_twi/makefile<br />~ /neorv32/trunk/sw/example/demo_wdt/makefile<br />~ /neorv32/trunk/sw/example/demo_xirq/main.c<br />~ /neorv32/trunk/sw/example/demo_xirq/makefile<br />~ /neorv32/trunk/sw/example/floating_point_test/main.c<br />~ /neorv32/trunk/sw/example/floating_point_test/makefile<br />~ /neorv32/trunk/sw/example/game_of_life/makefile<br />~ /neorv32/trunk/sw/example/hello_world/makefile<br />~ /neorv32/trunk/sw/example/hex_viewer/makefile<br />~ /neorv32/trunk/sw/example/processor_check/main.c<br />~ /neorv32/trunk/sw/example/processor_check/makefile<br />~ /neorv32/trunk/sw/image_gen/image_gen.cpp<br />~ /neorv32/trunk/sw/image_gen/uart_upload.sh<br />+ /neorv32/trunk/sw/isa-test<br />+ /neorv32/trunk/sw/isa-test/common.mk<br />+ /neorv32/trunk/sw/isa-test/port-neorv32<br />+ /neorv32/trunk/sw/isa-test/port-neorv32/device<br />+ /neorv32/trunk/sw/isa-test/port-neorv32/device/rv32i_m<br />+ /neorv32/trunk/sw/isa-test/port-neorv32/device/rv32i_m/C<br />+ /neorv32/trunk/sw/isa-test/port-neorv32/device/rv32i_m/C/Makefile.include<br />+ /neorv32/trunk/sw/isa-test/port-neorv32/device/rv32i_m/I<br />+ /neorv32/trunk/sw/isa-test/port-neorv32/device/rv32i_m/I/Makefile.include<br />+ /neorv32/trunk/sw/isa-test/port-neorv32/device/rv32i_m/M<br />+ /neorv32/trunk/sw/isa-test/port-neorv32/device/rv32i_m/M/Makefile.include<br />+ /neorv32/trunk/sw/isa-test/port-neorv32/device/rv32i_m/privilege<br />+ /neorv32/trunk/sw/isa-test/port-neorv32/device/rv32i_m/privilege/Makefile.include<br />+ /neorv32/trunk/sw/isa-test/port-neorv32/device/rv32i_m/Zifencei<br />+ /neorv32/trunk/sw/isa-test/port-neorv32/device/rv32i_m/Zifencei/Makefile.include<br />+ /neorv32/trunk/sw/isa-test/port-neorv32/link.imem_ram.ld<br />+ /neorv32/trunk/sw/isa-test/port-neorv32/link.imem_rom.ld<br />+ /neorv32/trunk/sw/isa-test/port-neorv32/model_test.h<br />+ /neorv32/trunk/sw/isa-test/port-neorv32/README.md<br />+ /neorv32/trunk/sw/isa-test/riscv-arch-test<br />~ /neorv32/trunk/sw/lib/include/neorv32.h<br />~ /neorv32/trunk/sw/lib/include/neorv32_cpu.h<br />~ /neorv32/trunk/sw/lib/include/neorv32_neoled.h<br />~ /neorv32/trunk/sw/lib/include/neorv32_slink.h<br />~ /neorv32/trunk/sw/lib/source/neorv32_neoled.c<br />~ /neorv32/trunk/sw/lib/source/neorv32_slink.c<br />~ /neorv32/trunk/sw/ocd-firmware/makefile<br />~ /neorv32/trunk/sw/ocd-firmware/park_loop.S<br />~ /neorv32/trunk/sw/README.md<br /> zero_gravity Fri, 13 Aug 2021 13:01:20 +0100 https://opencores.org/websvn//websvn/revision?repname=neorv32&path=%2Fneorv32%2Ftrunk%2F&rev=62 bump to verion 1.5.7.10 see CHANGELOG.md for more information https://opencores.org/websvn//websvn/revision?repname=neorv32&path=%2Fneorv32%2Ftrunk%2F&rev=61 <div><strong>Rev 61 - zero_gravity</strong> (208 file(s) modified)</div><div>bump to verion 1.5.7.10<br /> see CHANGELOG.md for more information</div>~ /neorv32/trunk/.gitignore<br />- /neorv32/trunk/boards<br />~ /neorv32/trunk/CHANGELOG.md<br />+ /neorv32/trunk/docs/attrs.adoc<br />+ /neorv32/trunk/docs/attrs.main.adoc<br />~ /neorv32/trunk/docs/datasheet/cpu.adoc<br />~ /neorv32/trunk/docs/datasheet/cpu_csr.adoc<br />~ /neorv32/trunk/docs/datasheet/index.adoc<br />~ /neorv32/trunk/docs/datasheet/main.adoc<br />~ /neorv32/trunk/docs/datasheet/on_chip_debugger.adoc<br />~ /neorv32/trunk/docs/datasheet/overview.adoc<br />~ /neorv32/trunk/docs/datasheet/soc.adoc<br />~ /neorv32/trunk/docs/datasheet/soc_bootrom.adoc<br />~ /neorv32/trunk/docs/datasheet/soc_dmem.adoc<br />~ /neorv32/trunk/docs/datasheet/soc_gpio.adoc<br />~ /neorv32/trunk/docs/datasheet/soc_icache.adoc<br />~ /neorv32/trunk/docs/datasheet/soc_imem.adoc<br />- /neorv32/trunk/docs/datasheet/soc_nco.adoc<br />~ /neorv32/trunk/docs/datasheet/soc_neoled.adoc<br />+ /neorv32/trunk/docs/datasheet/soc_slink.adoc<br />~ /neorv32/trunk/docs/datasheet/soc_sysinfo.adoc<br />~ /neorv32/trunk/docs/datasheet/soc_trng.adoc<br />~ /neorv32/trunk/docs/datasheet/soc_wdt.adoc<br />~ /neorv32/trunk/docs/datasheet/soc_wishbone.adoc<br />+ /neorv32/trunk/docs/datasheet/soc_xirq.adoc<br />~ /neorv32/trunk/docs/datasheet/software.adoc<br />+ /neorv32/trunk/docs/figures/neorv32_boot_configurations.png<br />~ /neorv32/trunk/docs/figures/neorv32_bus.png<br />~ /neorv32/trunk/docs/figures/neorv32_cpu.png<br />+ /neorv32/trunk/docs/figures/neorv32_memory_configurations.png<br />~ /neorv32/trunk/docs/figures/neorv32_ocd_complex.png<br />~ /neorv32/trunk/docs/figures/neorv32_processor.png<br />+ /neorv32/trunk/docs/figures/stream_link_interface.png<br />+ /neorv32/trunk/docs/impressum.md<br />~ /neorv32/trunk/docs/legal.adoc<br />~ /neorv32/trunk/docs/references/riscv-spec.pdf<br />~ /neorv32/trunk/docs/userguide/content.adoc<br />~ /neorv32/trunk/docs/userguide/index.adoc<br />~ /neorv32/trunk/docs/userguide/main.adoc<br />~ /neorv32/trunk/README.md<br />- /neorv32/trunk/riscv-arch-test/port-neorv32/framework_v1.0<br />~ /neorv32/trunk/riscv-arch-test/port-neorv32/framework_v2.0/riscv-target/neorv32/device/rv32i_m/C/Makefile.include<br />~ /neorv32/trunk/riscv-arch-test/port-neorv32/framework_v2.0/riscv-target/neorv32/device/rv32i_m/I/Makefile.include<br />~ /neorv32/trunk/riscv-arch-test/port-neorv32/framework_v2.0/riscv-target/neorv32/device/rv32i_m/M/Makefile.include<br />~ /neorv32/trunk/riscv-arch-test/port-neorv32/framework_v2.0/riscv-target/neorv32/device/rv32i_m/privilege/Makefile.include<br />~ /neorv32/trunk/riscv-arch-test/port-neorv32/framework_v2.0/riscv-target/neorv32/device/rv32i_m/Zifencei/Makefile.include<br />~ /neorv32/trunk/riscv-arch-test/port-neorv32/framework_v2.0/riscv-target/neorv32/README.md<br />~ /neorv32/trunk/riscv-arch-test/README.md<br />~ /neorv32/trunk/riscv-arch-test/run_riscv_arch_test.sh<br />~ /neorv32/trunk/rtl/core/neorv32_application_image.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_bootloader_image.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_boot_rom.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_cfs.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_cpu.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_cpu_alu.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_cpu_bus.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_cpu_control.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_cpu_cp_fpu.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_cpu_cp_muldiv.vhd<br />+ /neorv32/trunk/rtl/core/neorv32_cpu_cp_shifter.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_debug_dm.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_dmem.vhd<br />+ /neorv32/trunk/rtl/core/neorv32_fifo.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_gpio.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_icache.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_imem.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_mtime.vhd<br />- /neorv32/trunk/rtl/core/neorv32_nco.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_neoled.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_package.vhd<br />+ /neorv32/trunk/rtl/core/neorv32_slink.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_sysinfo.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_top.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_wishbone.vhd<br />+ /neorv32/trunk/rtl/core/neorv32_xirq.vhd<br />~ /neorv32/trunk/rtl/README.md<br />+ /neorv32/trunk/rtl/templates<br />+ /neorv32/trunk/rtl/templates/processor<br />+ /neorv32/trunk/rtl/templates/processor/neorv32_ProcessorTop_Minimal.vhd<br />+ /neorv32/trunk/rtl/templates/processor/neorv32_ProcessorTop_MinimalBoot.vhd<br />+ /neorv32/trunk/rtl/templates/processor/neorv32_ProcessorTop_stdlogic.vhd<br />+ /neorv32/trunk/rtl/templates/processor/neorv32_ProcessorTop_Test.vhd<br />+ /neorv32/trunk/rtl/templates/processor/neorv32_ProcessorTop_UP5KDemo.vhd<br />+ /neorv32/trunk/rtl/templates/README.md<br />+ /neorv32/trunk/rtl/templates/system<br />+ /neorv32/trunk/rtl/templates/system/neorv32_SystemTop_axi4lite.vhd<br />- /neorv32/trunk/rtl/top_templates<br />+ /neorv32/trunk/setups<br />+ /neorv32/trunk/setups/examples<br />+ /neorv32/trunk/setups/examples/Makefile<br />+ /neorv32/trunk/setups/examples/neorv32_Fomu_BoardTop_Minimal.vhd<br />+ /neorv32/trunk/setups/examples/neorv32_Fomu_BoardTop_MinimalBoot.vhd<br />+ /neorv32/trunk/setups/examples/neorv32_Fomu_BoardTop_MixedLanguage.vhd<br />+ /neorv32/trunk/setups/examples/neorv32_Fomu_BoardTop_UP5KDemo.vhd<br />+ /neorv32/trunk/setups/examples/neorv32_Fomu_MixedLanguage_ClkGen.v<br />+ /neorv32/trunk/setups/examples/neorv32_iCESugar_BoardTop_Minimal.vhd<br />+ /neorv32/trunk/setups/examples/neorv32_iCESugar_BoardTop_MinimalBoot.vhd<br />+ /neorv32/trunk/setups/examples/neorv32_UPduino_v3_BoardTop_MinimalBoot.vhd<br />+ /neorv32/trunk/setups/examples/neorv32_UPduino_v3_BoardTop_UP5KDemo.vhd<br />+ /neorv32/trunk/setups/examples/README.md<br />+ /neorv32/trunk/setups/osflow<br />+ /neorv32/trunk/setups/osflow/devices<br />+ /neorv32/trunk/setups/osflow/devices/ice40<br />+ /neorv32/trunk/setups/osflow/devices/ice40/neorv32_dmem.ice40up_spram.vhd<br />+ /neorv32/trunk/setups/osflow/devices/ice40/neorv32_imem.ice40up_spram.vhd<br />+ /neorv32/trunk/setups/osflow/devices/ice40/sb_ice40_components.v<br />+ /neorv32/trunk/setups/osflow/devices/ice40/sb_ice40_components.vhd<br />+ /neorv32/trunk/setups/osflow/filesets.mk<br />+ /neorv32/trunk/setups/osflow/Fomu<br />+ /neorv32/trunk/setups/osflow/Fomu/.gitignore<br />+ /neorv32/trunk/setups/osflow/Fomu/board.mk<br />+ /neorv32/trunk/setups/osflow/Fomu/Makefile<br />+ /neorv32/trunk/setups/osflow/Fomu/pcf<br />+ /neorv32/trunk/setups/osflow/Fomu/pcf/fomu-evt2.pcf<br />+ /neorv32/trunk/setups/osflow/Fomu/pcf/fomu-evt3.pcf<br />+ /neorv32/trunk/setups/osflow/Fomu/pcf/fomu-hacker.pcf<br />+ /neorv32/trunk/setups/osflow/Fomu/pcf/fomu-pvt.pcf<br />+ /neorv32/trunk/setups/osflow/iCESugar<br />+ /neorv32/trunk/setups/osflow/iCESugar/iCESugar.pcf<br />+ /neorv32/trunk/setups/osflow/iCESugar/Makefile<br />+ /neorv32/trunk/setups/osflow/PnR_Bit.mk<br />+ /neorv32/trunk/setups/osflow/synthesis.mk<br />+ /neorv32/trunk/setups/osflow/tools.mk<br />+ /neorv32/trunk/setups/osflow/UPduino_v3<br />+ /neorv32/trunk/setups/osflow/UPduino_v3/.gitignore<br />+ /neorv32/trunk/setups/osflow/UPduino_v3/Makefile<br />+ /neorv32/trunk/setups/osflow/UPduino_v3/upduino_v3.pcf<br />+ /neorv32/trunk/setups/quartus<br />+ /neorv32/trunk/setups/quartus/de0-nano-test-setup<br />+ /neorv32/trunk/setups/quartus/de0-nano-test-setup/.gitignore<br />+ /neorv32/trunk/setups/quartus/de0-nano-test-setup/create_project.tcl<br />+ /neorv32/trunk/setups/quartus/de0-nano-test-setup/README.md<br />+ /neorv32/trunk/setups/radiant<br />+ /neorv32/trunk/setups/radiant/UPduino_v3<br />+ /neorv32/trunk/setups/radiant/UPduino_v3/.gitignore<br />+ /neorv32/trunk/setups/radiant/UPduino_v3/neorv32_dmem.ice40up_spram.vhd<br />+ /neorv32/trunk/setups/radiant/UPduino_v3/neorv32_imem.ice40up_spram.vhd<br />+ /neorv32/trunk/setups/radiant/UPduino_v3/neorv32_upduino_v3.pdc<br />+ /neorv32/trunk/setups/radiant/UPduino_v3/neorv32_upduino_v3.rdf<br />+ /neorv32/trunk/setups/radiant/UPduino_v3/neorv32_upduino_v3_top.vhd<br />+ /neorv32/trunk/setups/radiant/UPduino_v3/README.md<br />+ /neorv32/trunk/setups/radiant/UPduino_v3/source<br />+ /neorv32/trunk/setups/radiant/UPduino_v3/source/impl_1.xcf<br />+ /neorv32/trunk/setups/radiant/UPduino_v3/system_pll<br />+ /neorv32/trunk/setups/radiant/UPduino_v3/system_pll/rtl<br />+ /neorv32/trunk/setups/radiant/UPduino_v3/system_pll/rtl/system_pll.v<br />+ /neorv32/trunk/setups/radiant/UPduino_v3/system_pll/system_pll.ipx<br />+ /neorv32/trunk/setups/README.md<br />+ /neorv32/trunk/setups/vivado<br />+ /neorv32/trunk/setups/vivado/arty-a7-test-setup<br />+ /neorv32/trunk/setups/vivado/arty-a7-test-setup/.gitignore<br />+ /neorv32/trunk/setups/vivado/arty-a7-test-setup/arty_a7_test_setup.xdc<br />+ /neorv32/trunk/setups/vivado/arty-a7-test-setup/create_project.tcl<br />+ /neorv32/trunk/setups/vivado/arty-a7-test-setup/README.md<br />+ /neorv32/trunk/setups/vivado/nexys-a7-test-setup<br />+ /neorv32/trunk/setups/vivado/nexys-a7-test-setup/.gitignore<br />+ /neorv32/trunk/setups/vivado/nexys-a7-test-setup/create_project.tcl<br />+ /neorv32/trunk/setups/vivado/nexys-a7-test-setup/nexys_a7_test_setup.xdc<br />+ /neorv32/trunk/setups/vivado/nexys-a7-test-setup/README.md<br />+ /neorv32/trunk/setups/vivado/README.md<br />- /neorv32/trunk/sim/ghdl<br />+ /neorv32/trunk/sim/ghdl_sim.sh<br />+ /neorv32/trunk/sim/neorv32_tb.simple.vhd<br />~ /neorv32/trunk/sim/neorv32_tb.vhd<br />~ /neorv32/trunk/sim/README.md<br />- /neorv32/trunk/sim/rtl_modules/neorv32_dmem.vhd<br />~ /neorv32/trunk/sim/rtl_modules/neorv32_imem.vhd<br />+ /neorv32/trunk/sim/run.py<br />+ /neorv32/trunk/sim/uart_rx.simple.vhd<br />+ /neorv32/trunk/sim/uart_rx.vhd<br />+ /neorv32/trunk/sim/uart_rx_pkg.vhd<br />- /neorv32/trunk/sim/vivado<br />~ /neorv32/trunk/sw/bootloader/bootloader.c<br />~ /neorv32/trunk/sw/common/crt0.S<br />~ /neorv32/trunk/sw/common/neorv32.ld<br />~ /neorv32/trunk/sw/example/blink_led/main.c<br />- /neorv32/trunk/sw/example/demo_gpio_irq<br />- /neorv32/trunk/sw/example/demo_nco<br />+ /neorv32/trunk/sw/example/demo_xirq<br />+ /neorv32/trunk/sw/example/demo_xirq/main.c<br />+ /neorv32/trunk/sw/example/demo_xirq/makefile<br />~ /neorv32/trunk/sw/example/hex_viewer/main.c<br />~ /neorv32/trunk/sw/example/processor_check/check.sh<br />~ /neorv32/trunk/sw/example/processor_check/main.c<br />~ /neorv32/trunk/sw/image_gen/image_gen.cpp<br />~ /neorv32/trunk/sw/lib/include/neorv32.h<br />~ /neorv32/trunk/sw/lib/include/neorv32_cpu.h<br />~ /neorv32/trunk/sw/lib/include/neorv32_gpio.h<br />~ /neorv32/trunk/sw/lib/include/neorv32_intrinsics.h<br />- /neorv32/trunk/sw/lib/include/neorv32_nco.h<br />~ /neorv32/trunk/sw/lib/include/neorv32_pwm.h<br />+ /neorv32/trunk/sw/lib/include/neorv32_slink.h<br />~ /neorv32/trunk/sw/lib/include/neorv32_spi.h<br />~ /neorv32/trunk/sw/lib/include/neorv32_twi.h<br />~ /neorv32/trunk/sw/lib/include/neorv32_uart.h<br />+ /neorv32/trunk/sw/lib/include/neorv32_xirq.h<br />~ /neorv32/trunk/sw/lib/source/neorv32_cpu.c<br />~ /neorv32/trunk/sw/lib/source/neorv32_gpio.c<br />- /neorv32/trunk/sw/lib/source/neorv32_nco.c<br />~ /neorv32/trunk/sw/lib/source/neorv32_pwm.c<br />~ /neorv32/trunk/sw/lib/source/neorv32_rte.c<br />+ /neorv32/trunk/sw/lib/source/neorv32_slink.c<br />~ /neorv32/trunk/sw/lib/source/neorv32_spi.c<br />~ /neorv32/trunk/sw/lib/source/neorv32_twi.c<br />~ /neorv32/trunk/sw/lib/source/neorv32_uart.c<br />~ /neorv32/trunk/sw/lib/source/neorv32_wdt.c<br />+ /neorv32/trunk/sw/lib/source/neorv32_xirq.c<br />~ /neorv32/trunk/sw/ocd-firmware/park_loop.S<br /> zero_gravity Sun, 04 Jul 2021 09:14:53 +0100 https://opencores.org/websvn//websvn/revision?repname=neorv32&path=%2Fneorv32%2Ftrunk%2F&rev=61 update to version 1.5.6.5 see CHANGELOG.md for more information https://opencores.org/websvn//websvn/revision?repname=neorv32&path=%2Fneorv32%2Ftrunk%2F&rev=60 <div><strong>Rev 60 - zero_gravity</strong> (106 file(s) modified)</div><div>update to version 1.5.6.5<br /> see CHANGELOG.md for more information</div>- /neorv32/trunk/.ci<br />~ /neorv32/trunk/.gitignore<br />~ /neorv32/trunk/boards/UPduino_v2/README.md<br />~ /neorv32/trunk/boards/UPduino_v3/neorv32_upduino_v3.rdf<br />~ /neorv32/trunk/boards/UPduino_v3/neorv32_upduino_v3_top.vhd<br />~ /neorv32/trunk/boards/UPduino_v3_ghdl-yosys-nextpnr/Makefile<br />~ /neorv32/trunk/boards/UPduino_v3_ghdl-yosys-nextpnr/neorv32_upduino_v3_top.vhd<br />~ /neorv32/trunk/CHANGELOG.md<br />+ /neorv32/trunk/docs/datasheet<br />+ /neorv32/trunk/docs/datasheet/content.adoc<br />+ /neorv32/trunk/docs/datasheet/cpu.adoc<br />+ /neorv32/trunk/docs/datasheet/cpu_csr.adoc<br />+ /neorv32/trunk/docs/datasheet/index.adoc<br />+ /neorv32/trunk/docs/datasheet/main.adoc<br />+ /neorv32/trunk/docs/datasheet/on_chip_debugger.adoc<br />+ /neorv32/trunk/docs/datasheet/overview.adoc<br />+ /neorv32/trunk/docs/datasheet/soc.adoc<br />+ /neorv32/trunk/docs/datasheet/soc_bootrom.adoc<br />+ /neorv32/trunk/docs/datasheet/soc_cfs.adoc<br />+ /neorv32/trunk/docs/datasheet/soc_dmem.adoc<br />+ /neorv32/trunk/docs/datasheet/soc_gpio.adoc<br />+ /neorv32/trunk/docs/datasheet/soc_icache.adoc<br />+ /neorv32/trunk/docs/datasheet/soc_imem.adoc<br />+ /neorv32/trunk/docs/datasheet/soc_mtime.adoc<br />+ /neorv32/trunk/docs/datasheet/soc_nco.adoc<br />+ /neorv32/trunk/docs/datasheet/soc_neoled.adoc<br />+ /neorv32/trunk/docs/datasheet/soc_pwm.adoc<br />+ /neorv32/trunk/docs/datasheet/soc_spi.adoc<br />+ /neorv32/trunk/docs/datasheet/soc_sysinfo.adoc<br />+ /neorv32/trunk/docs/datasheet/soc_trng.adoc<br />+ /neorv32/trunk/docs/datasheet/soc_twi.adoc<br />+ /neorv32/trunk/docs/datasheet/soc_uart.adoc<br />+ /neorv32/trunk/docs/datasheet/soc_wdt.adoc<br />+ /neorv32/trunk/docs/datasheet/soc_wishbone.adoc<br />+ /neorv32/trunk/docs/datasheet/software.adoc<br />~ /neorv32/trunk/docs/figures/address_space.png<br />~ /neorv32/trunk/docs/figures/neorv32_processor.png<br />+ /neorv32/trunk/docs/icons<br />+ /neorv32/trunk/docs/icons/important.png<br />+ /neorv32/trunk/docs/icons/note.png<br />+ /neorv32/trunk/docs/icons/tip.png<br />+ /neorv32/trunk/docs/icons/warning.png<br />+ /neorv32/trunk/docs/legal.adoc<br />+ /neorv32/trunk/docs/neorv32-theme.yml<br />- /neorv32/trunk/docs/src_adoc<br />+ /neorv32/trunk/docs/userguide<br />+ /neorv32/trunk/docs/userguide/content.adoc<br />+ /neorv32/trunk/docs/userguide/index.adoc<br />+ /neorv32/trunk/docs/userguide/main.adoc<br />~ /neorv32/trunk/Makefile<br />~ /neorv32/trunk/README.md<br />~ /neorv32/trunk/rtl/core/neorv32_application_image.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_bootloader_image.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_bus_keeper.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_cfs.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_cpu.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_cpu_alu.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_cpu_bus.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_cpu_control.vhd<br />- /neorv32/trunk/rtl/core/neorv32_cpu_cp_bitmanip.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_cpu_cp_fpu.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_cpu_cp_muldiv.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_cpu_regfile.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_debug_dm.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_gpio.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_icache.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_mtime.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_package.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_pwm.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_sysinfo.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_top.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_trng.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_uart.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_wishbone.vhd<br />~ /neorv32/trunk/rtl/top_templates/neorv32_test_setup.vhd<br />~ /neorv32/trunk/rtl/top_templates/neorv32_top_axi4lite.vhd<br />~ /neorv32/trunk/rtl/top_templates/neorv32_top_stdlogic.vhd<br />~ /neorv32/trunk/sim/ghdl/ghdl_sim.sh<br />~ /neorv32/trunk/sim/neorv32_tb.vhd<br />~ /neorv32/trunk/sw/bootloader/bootloader.c<br />~ /neorv32/trunk/sw/common/neorv32.ld<br />- /neorv32/trunk/sw/example/bit_manipulation<br />~ /neorv32/trunk/sw/example/blink_led/main.c<br />~ /neorv32/trunk/sw/example/coremark/core_portme.c<br />~ /neorv32/trunk/sw/example/demo_freeRTOS/main.c<br />~ /neorv32/trunk/sw/example/demo_gpio_irq/main.c<br />~ /neorv32/trunk/sw/example/demo_nco/main.c<br />~ /neorv32/trunk/sw/example/demo_neopixel/main.c<br />~ /neorv32/trunk/sw/example/demo_pwm/main.c<br />~ /neorv32/trunk/sw/example/demo_trng/main.c<br />~ /neorv32/trunk/sw/example/demo_twi/main.c<br />~ /neorv32/trunk/sw/example/demo_wdt/main.c<br />~ /neorv32/trunk/sw/example/floating_point_test/main.c<br />~ /neorv32/trunk/sw/example/game_of_life/main.c<br />~ /neorv32/trunk/sw/example/hello_world/main.c<br />~ /neorv32/trunk/sw/example/hex_viewer/main.c<br />+ /neorv32/trunk/sw/example/processor_check/check.sh<br />~ /neorv32/trunk/sw/example/processor_check/main.c<br />~ /neorv32/trunk/sw/image_gen/image_gen.cpp<br />~ /neorv32/trunk/sw/lib/include/neorv32.h<br />~ /neorv32/trunk/sw/lib/include/neorv32_cpu.h<br />~ /neorv32/trunk/sw/lib/include/neorv32_pwm.h<br />~ /neorv32/trunk/sw/lib/source/neorv32_cpu.c<br />~ /neorv32/trunk/sw/lib/source/neorv32_pwm.c<br />~ /neorv32/trunk/sw/lib/source/neorv32_rte.c<br />~ /neorv32/trunk/sw/ocd-firmware/park_loop.S<br /> zero_gravity Sat, 05 Jun 2021 10:46:48 +0100 https://opencores.org/websvn//websvn/revision?repname=neorv32&path=%2Fneorv32%2Ftrunk%2F&rev=60 update to version 1.5.5.9 see CHANGELOG.md for more information https://opencores.org/websvn//websvn/revision?repname=neorv32&path=%2Fneorv32%2Ftrunk%2F&rev=59 <div><strong>Rev 59 - zero_gravity</strong> (105 file(s) modified)</div><div>update to version 1.5.5.9<br /> see CHANGELOG.md for more information</div>~ /neorv32/trunk/.ci/README.md<br />~ /neorv32/trunk/.ci/sw_check.sh<br />~ /neorv32/trunk/.gitignore<br />+ /neorv32/trunk/.gitmodules<br />~ /neorv32/trunk/boards/README.md<br />~ /neorv32/trunk/boards/UPduino_v2/neorv32_upduino_v2_impl_1.bin<br />~ /neorv32/trunk/boards/UPduino_v2/neorv32_upduino_v2_top.vhd<br />+ /neorv32/trunk/boards/UPduino_v3<br />+ /neorv32/trunk/boards/UPduino_v3/.gitignore<br />+ /neorv32/trunk/boards/UPduino_v3/neorv32_dmem.ice40up_spram.vhd<br />+ /neorv32/trunk/boards/UPduino_v3/neorv32_imem.ice40up_spram.vhd<br />+ /neorv32/trunk/boards/UPduino_v3/neorv32_upduino_v3.pdc<br />+ /neorv32/trunk/boards/UPduino_v3/neorv32_upduino_v3.rdf<br />+ /neorv32/trunk/boards/UPduino_v3/neorv32_upduino_v3_impl_1.bin<br />+ /neorv32/trunk/boards/UPduino_v3/neorv32_upduino_v3_top.vhd<br />+ /neorv32/trunk/boards/UPduino_v3/README.md<br />+ /neorv32/trunk/boards/UPduino_v3/source<br />+ /neorv32/trunk/boards/UPduino_v3/source/impl_1.xcf<br />+ /neorv32/trunk/boards/UPduino_v3/system_pll<br />+ /neorv32/trunk/boards/UPduino_v3/system_pll/rtl<br />+ /neorv32/trunk/boards/UPduino_v3/system_pll/rtl/system_pll.v<br />+ /neorv32/trunk/boards/UPduino_v3/system_pll/system_pll.ipx<br />+ /neorv32/trunk/boards/UPduino_v3_ghdl-yosys-nextpnr<br />+ /neorv32/trunk/boards/UPduino_v3_ghdl-yosys-nextpnr/.gitignore<br />+ /neorv32/trunk/boards/UPduino_v3_ghdl-yosys-nextpnr/Makefile<br />+ /neorv32/trunk/boards/UPduino_v3_ghdl-yosys-nextpnr/neorv32_dmem.ice40up_spram.vhd<br />+ /neorv32/trunk/boards/UPduino_v3_ghdl-yosys-nextpnr/neorv32_imem.ice40up_spram.vhd<br />+ /neorv32/trunk/boards/UPduino_v3_ghdl-yosys-nextpnr/neorv32_upduino_v3.pcf<br />+ /neorv32/trunk/boards/UPduino_v3_ghdl-yosys-nextpnr/neorv32_upduino_v3_impl_1.bin<br />+ /neorv32/trunk/boards/UPduino_v3_ghdl-yosys-nextpnr/neorv32_upduino_v3_top.vhd<br />+ /neorv32/trunk/boards/UPduino_v3_ghdl-yosys-nextpnr/README.md<br />+ /neorv32/trunk/boards/UPduino_v3_ghdl-yosys-nextpnr/sb_ice40_components.vhd<br />~ /neorv32/trunk/CHANGELOG.md<br />~ /neorv32/trunk/CONTRIBUTING.md<br />- /neorv32/trunk/docs/bitmanip-draft.pdf<br />+ /neorv32/trunk/docs/figures/icon.png<br />~ /neorv32/trunk/docs/figures/neorv32_bus.png<br />+ /neorv32/trunk/docs/figures/neorv32_ocd_complex.png<br />~ /neorv32/trunk/docs/figures/neorv32_processor.png<br />- /neorv32/trunk/docs/make_datasheet.sh<br />- /neorv32/trunk/docs/NEORV32.pdf<br />+ /neorv32/trunk/docs/references<br />+ /neorv32/trunk/docs/references/bitmanip-draft.pdf<br />+ /neorv32/trunk/docs/references/riscv-debug-release.pdf<br />+ /neorv32/trunk/docs/references/riscv-privileged.pdf<br />+ /neorv32/trunk/docs/references/riscv-spec.pdf<br />+ /neorv32/trunk/docs/references/wbspec_b4.pdf<br />- /neorv32/trunk/docs/riscv-privileged.pdf<br />- /neorv32/trunk/docs/riscv-spec.pdf<br />+ /neorv32/trunk/docs/src_adoc/content.adoc<br />~ /neorv32/trunk/docs/src_adoc/cpu.adoc<br />~ /neorv32/trunk/docs/src_adoc/cpu_csr.adoc<br />~ /neorv32/trunk/docs/src_adoc/getting_started.adoc<br />+ /neorv32/trunk/docs/src_adoc/index.adoc<br />~ /neorv32/trunk/docs/src_adoc/neorv32.adoc<br />+ /neorv32/trunk/docs/src_adoc/on_chip_debugger.adoc<br />~ /neorv32/trunk/docs/src_adoc/overview.adoc<br />~ /neorv32/trunk/docs/src_adoc/soc.adoc<br />~ /neorv32/trunk/docs/src_adoc/soc_mtime.adoc<br />~ /neorv32/trunk/docs/src_adoc/soc_neoled.adoc<br />~ /neorv32/trunk/docs/src_adoc/soc_sysinfo.adoc<br />~ /neorv32/trunk/docs/src_adoc/soc_wishbone.adoc<br />~ /neorv32/trunk/docs/src_adoc/software.adoc<br />- /neorv32/trunk/docs/wbspec_b4.pdf<br />+ /neorv32/trunk/Makefile<br />~ /neorv32/trunk/README.md<br />~ /neorv32/trunk/riscv-arch-test/README.md<br />~ /neorv32/trunk/riscv-arch-test/run_riscv_arch_test.sh<br />+ /neorv32/trunk/riscv-arch-test/work/riscv-arch-test<br />~ /neorv32/trunk/rtl/core/neorv32_application_image.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_bootloader_image.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_bus_keeper.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_cpu.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_cpu_bus.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_cpu_control.vhd<br />+ /neorv32/trunk/rtl/core/neorv32_debug_dm.vhd<br />+ /neorv32/trunk/rtl/core/neorv32_debug_dtm.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_package.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_sysinfo.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_top.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_wdt.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_wishbone.vhd<br />~ /neorv32/trunk/rtl/top_templates/neorv32_test_setup.vhd<br />~ /neorv32/trunk/rtl/top_templates/neorv32_top_axi4lite.vhd<br />~ /neorv32/trunk/rtl/top_templates/neorv32_top_stdlogic.vhd<br />~ /neorv32/trunk/sim/ghdl/ghdl_sim.sh<br />~ /neorv32/trunk/sim/neorv32_tb.vhd<br />~ /neorv32/trunk/sw/bootloader/bootloader.c<br />~ /neorv32/trunk/sw/common/crt0.S<br />~ /neorv32/trunk/sw/example/bit_manipulation/README.md<br />- /neorv32/trunk/sw/example/cpu_test<br />+ /neorv32/trunk/sw/example/processor_check<br />+ /neorv32/trunk/sw/example/processor_check/main.c<br />+ /neorv32/trunk/sw/example/processor_check/makefile<br />~ /neorv32/trunk/sw/lib/include/neorv32.h<br />~ /neorv32/trunk/sw/lib/source/neorv32_mtime.c<br />~ /neorv32/trunk/sw/lib/source/neorv32_rte.c<br />+ /neorv32/trunk/sw/ocd-firmware<br />+ /neorv32/trunk/sw/ocd-firmware/.gitignore<br />+ /neorv32/trunk/sw/ocd-firmware/debug_rom.ld<br />+ /neorv32/trunk/sw/ocd-firmware/makefile<br />+ /neorv32/trunk/sw/ocd-firmware/park_loop.S<br />+ /neorv32/trunk/sw/ocd-firmware/README.md<br />+ /neorv32/trunk/sw/openocd<br />+ /neorv32/trunk/sw/openocd/openocd_neorv32.cfg<br /> zero_gravity Sat, 29 May 2021 11:31:45 +0100 https://opencores.org/websvn//websvn/revision?repname=neorv32&path=%2Fneorv32%2Ftrunk%2F&rev=59 update to version 1.5.5.0 see CHANGELOG.md for more information https://opencores.org/websvn//websvn/revision?repname=neorv32&path=%2Fneorv32%2Ftrunk%2F&rev=58 <div><strong>Rev 58 - zero_gravity</strong> (41 file(s) modified)</div><div>update to version 1.5.5.0<br /> see CHANGELOG.md for more information</div>~ /neorv32/trunk/boards/README.md<br />+ /neorv32/trunk/boards/UPduino_v2<br />+ /neorv32/trunk/boards/UPduino_v2/.gitignore<br />+ /neorv32/trunk/boards/UPduino_v2/neorv32_dmem.ice40up_spram.vhd<br />+ /neorv32/trunk/boards/UPduino_v2/neorv32_imem.ice40up_spram.vhd<br />+ /neorv32/trunk/boards/UPduino_v2/neorv32_upduino_v2.pdc<br />+ /neorv32/trunk/boards/UPduino_v2/neorv32_upduino_v2.rdf<br />+ /neorv32/trunk/boards/UPduino_v2/neorv32_upduino_v2_impl_1.bin<br />+ /neorv32/trunk/boards/UPduino_v2/neorv32_upduino_v2_top.vhd<br />+ /neorv32/trunk/boards/UPduino_v2/README.md<br />~ /neorv32/trunk/CHANGELOG.md<br />~ /neorv32/trunk/docs/figures/neorv32_processor.png<br />- /neorv32/trunk/docs/NEORV32.legacy.pdf<br />~ /neorv32/trunk/docs/NEORV32.pdf<br />~ /neorv32/trunk/docs/src_adoc/cpu.adoc<br />~ /neorv32/trunk/docs/src_adoc/cpu_csr.adoc<br />~ /neorv32/trunk/docs/src_adoc/neorv32.adoc<br />~ /neorv32/trunk/docs/src_adoc/overview.adoc<br />~ /neorv32/trunk/docs/src_adoc/soc.adoc<br />~ /neorv32/trunk/docs/src_adoc/soc_pwm.adoc<br />~ /neorv32/trunk/docs/src_adoc/software.adoc<br />~ /neorv32/trunk/README.md<br />~ /neorv32/trunk/rtl/core/neorv32_application_image.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_bootloader_image.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_cpu.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_cpu_control.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_cpu_decompressor.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_cpu_regfile.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_package.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_top.vhd<br />~ /neorv32/trunk/rtl/top_templates/neorv32_test_setup.vhd<br />~ /neorv32/trunk/rtl/top_templates/neorv32_top_axi4lite.vhd<br />~ /neorv32/trunk/rtl/top_templates/neorv32_top_stdlogic.vhd<br />~ /neorv32/trunk/sim/neorv32_tb.vhd<br />~ /neorv32/trunk/sw/common/crt0.S<br />~ /neorv32/trunk/sw/common/neorv32.ld<br />~ /neorv32/trunk/sw/example/cpu_test/main.c<br />~ /neorv32/trunk/sw/lib/include/neorv32.h<br />~ /neorv32/trunk/sw/lib/include/neorv32_rte.h<br />~ /neorv32/trunk/sw/lib/source/neorv32_cpu.c<br />~ /neorv32/trunk/sw/lib/source/neorv32_rte.c<br /> zero_gravity Tue, 11 May 2021 12:59:44 +0100 https://opencores.org/websvn//websvn/revision?repname=neorv32&path=%2Fneorv32%2Ftrunk%2F&rev=58 update to version 1.5.4.8 see CHANGELOG.md for more information https://opencores.org/websvn//websvn/revision?repname=neorv32&path=%2Fneorv32%2Ftrunk%2F&rev=57 <div><strong>Rev 57 - zero_gravity</strong> (72 file(s) modified)</div><div>update to version 1.5.4.8<br /> see CHANGELOG.md for more information</div>~ /neorv32/trunk/.ci/hw_check.sh<br />~ /neorv32/trunk/CHANGELOG.md<br />~ /neorv32/trunk/docs/Doxyfile<br />+ /neorv32/trunk/docs/figures/address_space.png<br />+ /neorv32/trunk/docs/figures/cpu_interface_read_long.png<br />+ /neorv32/trunk/docs/figures/cpu_interface_write_long.png<br />+ /neorv32/trunk/docs/figures/neopixel.png<br />~ /neorv32/trunk/docs/figures/neorv32_bus.png<br />+ /neorv32/trunk/docs/figures/neorv32_cpu.png<br />+ /neorv32/trunk/docs/figures/wishbone_classic_read.png<br />+ /neorv32/trunk/docs/figures/wishbone_pipelined_write.png<br />+ /neorv32/trunk/docs/make_datasheet.sh<br />+ /neorv32/trunk/docs/NEORV32.legacy.pdf<br />~ /neorv32/trunk/docs/NEORV32.pdf<br />+ /neorv32/trunk/docs/src_adoc<br />+ /neorv32/trunk/docs/src_adoc/cpu.adoc<br />+ /neorv32/trunk/docs/src_adoc/cpu_csr.adoc<br />+ /neorv32/trunk/docs/src_adoc/getting_started.adoc<br />+ /neorv32/trunk/docs/src_adoc/icons<br />+ /neorv32/trunk/docs/src_adoc/icons/important.png<br />+ /neorv32/trunk/docs/src_adoc/icons/note.png<br />+ /neorv32/trunk/docs/src_adoc/icons/tip.png<br />+ /neorv32/trunk/docs/src_adoc/icons/warning.png<br />+ /neorv32/trunk/docs/src_adoc/neorv32-theme.yml<br />+ /neorv32/trunk/docs/src_adoc/neorv32.adoc<br />+ /neorv32/trunk/docs/src_adoc/overview.adoc<br />+ /neorv32/trunk/docs/src_adoc/soc.adoc<br />+ /neorv32/trunk/docs/src_adoc/soc_bootrom.adoc<br />+ /neorv32/trunk/docs/src_adoc/soc_cfs.adoc<br />+ /neorv32/trunk/docs/src_adoc/soc_dmem.adoc<br />+ /neorv32/trunk/docs/src_adoc/soc_gpio.adoc<br />+ /neorv32/trunk/docs/src_adoc/soc_icache.adoc<br />+ /neorv32/trunk/docs/src_adoc/soc_imem.adoc<br />+ /neorv32/trunk/docs/src_adoc/soc_mtime.adoc<br />+ /neorv32/trunk/docs/src_adoc/soc_nco.adoc<br />+ /neorv32/trunk/docs/src_adoc/soc_neoled.adoc<br />+ /neorv32/trunk/docs/src_adoc/soc_pwm.adoc<br />+ /neorv32/trunk/docs/src_adoc/soc_spi.adoc<br />+ /neorv32/trunk/docs/src_adoc/soc_sysinfo.adoc<br />+ /neorv32/trunk/docs/src_adoc/soc_trng.adoc<br />+ /neorv32/trunk/docs/src_adoc/soc_twi.adoc<br />+ /neorv32/trunk/docs/src_adoc/soc_uart.adoc<br />+ /neorv32/trunk/docs/src_adoc/soc_wdt.adoc<br />+ /neorv32/trunk/docs/src_adoc/soc_wishbone.adoc<br />+ /neorv32/trunk/docs/src_adoc/software.adoc<br />~ /neorv32/trunk/README.md<br />~ /neorv32/trunk/rtl/core/neorv32_bootloader_image.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_busswitch.vhd<br />+ /neorv32/trunk/rtl/core/neorv32_bus_keeper.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_cpu.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_cpu_bus.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_cpu_control.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_icache.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_mtime.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_package.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_sysinfo.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_top.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_wishbone.vhd<br />- /neorv32/trunk/rtl/fpga_specific<br />~ /neorv32/trunk/rtl/README.md<br />~ /neorv32/trunk/rtl/top_templates/neorv32_test_setup.vhd<br />~ /neorv32/trunk/rtl/top_templates/neorv32_top_axi4lite.vhd<br />~ /neorv32/trunk/rtl/top_templates/neorv32_top_stdlogic.vhd<br />~ /neorv32/trunk/sim/ghdl/ghdl_sim.sh<br />~ /neorv32/trunk/sim/neorv32_tb.vhd<br />~ /neorv32/trunk/sw/bootloader/bootloader.c<br />~ /neorv32/trunk/sw/example/cpu_test/main.c<br />~ /neorv32/trunk/sw/example/hex_viewer/main.c<br />~ /neorv32/trunk/sw/lib/include/neorv32.h<br />~ /neorv32/trunk/sw/lib/include/neorv32_cpu.h<br />~ /neorv32/trunk/sw/lib/source/neorv32_cpu.c<br />~ /neorv32/trunk/sw/lib/source/neorv32_rte.c<br /> zero_gravity Thu, 29 Apr 2021 17:05:17 +0100 https://opencores.org/websvn//websvn/revision?repname=neorv32&path=%2Fneorv32%2Ftrunk%2F&rev=57 updated to version v1.5.4.0 see CHANGELOG.md for more information https://opencores.org/websvn//websvn/revision?repname=neorv32&path=%2Fneorv32%2Ftrunk%2F&rev=56 <div><strong>Rev 56 - zero_gravity</strong> (51 file(s) modified)</div><div>updated to version v1.5.4.0<br /> see CHANGELOG.md for more information</div>+ /neorv32/trunk/boards<br />+ /neorv32/trunk/boards/arty-a7-35-test-setup<br />+ /neorv32/trunk/boards/arty-a7-35-test-setup/.gitignore<br />+ /neorv32/trunk/boards/arty-a7-35-test-setup/arty_a7_35_test_setup.xdc<br />+ /neorv32/trunk/boards/arty-a7-35-test-setup/create_project.tcl<br />+ /neorv32/trunk/boards/arty-a7-35-test-setup/README.md<br />+ /neorv32/trunk/boards/de0-nano-test-setup<br />+ /neorv32/trunk/boards/de0-nano-test-setup/.gitignore<br />+ /neorv32/trunk/boards/de0-nano-test-setup/create_project.tcl<br />+ /neorv32/trunk/boards/de0-nano-test-setup/README.md<br />+ /neorv32/trunk/boards/nexys-a7-test-setup<br />+ /neorv32/trunk/boards/nexys-a7-test-setup/.gitignore<br />+ /neorv32/trunk/boards/nexys-a7-test-setup/create_project_nexys_a7_50.tcl<br />+ /neorv32/trunk/boards/nexys-a7-test-setup/create_project_nexys_a7_100.tcl<br />+ /neorv32/trunk/boards/nexys-a7-test-setup/nexys_a7_test_setup.xdc<br />+ /neorv32/trunk/boards/nexys-a7-test-setup/README.md<br />+ /neorv32/trunk/boards/README.md<br />~ /neorv32/trunk/CHANGELOG.md<br />~ /neorv32/trunk/docs/NEORV32.pdf<br />~ /neorv32/trunk/README.md<br />~ /neorv32/trunk/rtl/core/neorv32_application_image.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_bootloader_image.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_cpu.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_cpu_alu.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_cpu_bus.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_cpu_control.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_cpu_cp_bitmanip.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_cpu_cp_fpu.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_cpu_cp_muldiv.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_icache.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_mtime.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_package.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_top.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_uart.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_wishbone.vhd<br />~ /neorv32/trunk/rtl/top_templates/neorv32_test_setup.vhd<br />~ /neorv32/trunk/rtl/top_templates/neorv32_top_axi4lite.vhd<br />~ /neorv32/trunk/rtl/top_templates/neorv32_top_stdlogic.vhd<br />~ /neorv32/trunk/sim/ghdl/ghdl_sim.sh<br />~ /neorv32/trunk/sim/neorv32_tb.vhd<br />~ /neorv32/trunk/sw/bootloader/bootloader.c<br />~ /neorv32/trunk/sw/common/crt0.S<br />~ /neorv32/trunk/sw/example/cpu_test/main.c<br />~ /neorv32/trunk/sw/example/floating_point_test/main.c<br />~ /neorv32/trunk/sw/example/floating_point_test/neorv32_zfinx_extension_intrinsics.h<br />~ /neorv32/trunk/sw/example/floating_point_test/README.md<br />~ /neorv32/trunk/sw/example/hex_viewer/main.c<br />~ /neorv32/trunk/sw/lib/include/neorv32.h<br />~ /neorv32/trunk/sw/lib/include/neorv32_cpu.h<br />~ /neorv32/trunk/sw/lib/source/neorv32_cpu.c<br />~ /neorv32/trunk/sw/lib/source/neorv32_rte.c<br /> zero_gravity Sat, 17 Apr 2021 15:54:52 +0100 https://opencores.org/websvn//websvn/revision?repname=neorv32&path=%2Fneorv32%2Ftrunk%2F&rev=56 bump to version 1.5.3.2 See CHANGELOG.md for more information https://opencores.org/websvn//websvn/revision?repname=neorv32&path=%2Fneorv32%2Ftrunk%2F&rev=55 <div><strong>Rev 55 - zero_gravity</strong> (45 file(s) modified)</div><div>bump to version 1.5.3.2<br /> See CHANGELOG.md for more information</div>~ /neorv32/trunk/CHANGELOG.md<br />~ /neorv32/trunk/docs/Doxyfile<br />~ /neorv32/trunk/docs/figures/neorv32_processor.png<br />~ /neorv32/trunk/docs/NEORV32.pdf<br />~ /neorv32/trunk/README.md<br />~ /neorv32/trunk/rtl/core/neorv32_application_image.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_bootloader_image.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_cpu.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_cpu_alu.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_cpu_control.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_cpu_cp_fpu.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_package.vhd<br />~ /neorv32/trunk/rtl/core/neorv32_top.vhd<br />~ /neorv32/trunk/rtl/top_templates/neorv32_test_setup.vhd<br />~ /neorv32/trunk/rtl/top_templates/neorv32_top_axi4lite.vhd<br />~ /neorv32/trunk/rtl/top_templates/neorv32_top_stdlogic.vhd<br />~ /neorv32/trunk/sim/neorv32_tb.vhd<br />~ /neorv32/trunk/sw/bootloader/bootloader.c<br />~ /neorv32/trunk/sw/bootloader/makefile<br />~ /neorv32/trunk/sw/example/bit_manipulation/makefile<br />~ /neorv32/trunk/sw/example/bit_manipulation/neorv32_b_extension_intrinsics.h<br />~ /neorv32/trunk/sw/example/blink_led/makefile<br />~ /neorv32/trunk/sw/example/coremark/makefile<br />~ /neorv32/trunk/sw/example/cpu_test/main.c<br />~ /neorv32/trunk/sw/example/cpu_test/makefile<br />~ /neorv32/trunk/sw/example/demo_freeRTOS/makefile<br />~ /neorv32/trunk/sw/example/demo_gpio_irq/makefile<br />~ /neorv32/trunk/sw/example/demo_nco/makefile<br />~ /neorv32/trunk/sw/example/demo_neopixel/makefile<br />~ /neorv32/trunk/sw/example/demo_pwm/makefile<br />~ /neorv32/trunk/sw/example/demo_trng/makefile<br />~ /neorv32/trunk/sw/example/demo_twi/makefile<br />~ /neorv32/trunk/sw/example/demo_wdt/makefile<br />+ /neorv32/trunk/sw/example/floating_point_test<br />+ /neorv32/trunk/sw/example/floating_point_test/main.c<br />+ /neorv32/trunk/sw/example/floating_point_test/makefile<br />+ /neorv32/trunk/sw/example/floating_point_test/neorv32_zfinx_extension_intrinsics.h<br />+ /neorv32/trunk/sw/example/floating_point_test/README.md<br />~ /neorv32/trunk/sw/example/game_of_life/makefile<br />~ /neorv32/trunk/sw/example/hello_world/makefile<br />~ /neorv32/trunk/sw/example/hex_viewer/makefile<br />~ /neorv32/trunk/sw/lib/include/neorv32_cpu.h<br />~ /neorv32/trunk/sw/lib/include/neorv32_intrinsics.h<br />~ /neorv32/trunk/sw/lib/source/neorv32_cpu.c<br />~ /neorv32/trunk/sw/lib/source/neorv32_uart.c<br /> zero_gravity Fri, 26 Mar 2021 19:19:38 +0100 https://opencores.org/websvn//websvn/revision?repname=neorv32&path=%2Fneorv32%2Ftrunk%2F&rev=55
© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.