OpenCores
URL https://opencores.org/ocsvn/nova/nova/trunk

Error creating feed file, please check write permissions.
nova WebSVN RSS feed - nova https://opencores.org/websvn//websvn/listing?repname=nova&path=%2Fnova%2Ftrunk%2Fdoc%2F& Thu, 28 Mar 2024 15:02:46 +0100 FeedCreator 1.7.2 New directory structure. https://opencores.org/websvn//websvn/revision?repname=nova&path=%2Fnova%2Ftrunk%2Fdoc%2F&rev=11 <div><strong>Rev 11 - root</strong> (8 file(s) modified)</div><div>New directory structure.</div>- /branches<br />+ /nova<br />+ /nova/branches<br />+ /nova/tags<br />+ /nova/trunk<br />+ /nova/web_uploads<br />- /tags<br />- /trunk<br /> root Tue, 10 Mar 2009 01:51:30 +0100 https://opencores.org/websvn//websvn/revision?repname=nova&path=%2Fnova%2Ftrunk%2Fdoc%2F&rev=11 no message https://opencores.org/websvn//websvn/revision?repname=nova&path=%2Ftrunk%2Fdoc%2F&rev=5 <div><strong>Rev 5 - eexuke</strong> (1 file(s) modified)</div><div>no message</div>~ /trunk/doc/readme.txt<br /> eexuke Fri, 02 May 2008 06:29:18 +0100 https://opencores.org/websvn//websvn/revision?repname=nova&path=%2Ftrunk%2Fdoc%2F&rev=5 2008.05.02:First draft uploaded https://opencores.org/websvn//websvn/revision?repname=nova&path=%2Ftrunk%2Fdoc%2F&rev=4 <div><strong>Rev 4 - eexuke</strong> (1 file(s) modified)</div><div>2008.05.02:First draft uploaded</div>+ /trunk/doc/nova_spec.doc<br /> eexuke Fri, 02 May 2008 06:17:47 +0100 https://opencores.org/websvn//websvn/revision?repname=nova&path=%2Ftrunk%2Fdoc%2F&rev=4 no message https://opencores.org/websvn//websvn/revision?repname=nova&path=%2Ftrunk%2Fdoc%2F&rev=2 <div><strong>Rev 2 - eexuke</strong> (63 file(s) modified)</div><div>no message</div>+ /trunk/doc<br />+ /trunk/doc/readme.txt<br />+ /trunk/MISC<br />+ /trunk/MISC/readme.txt<br />+ /trunk/src<br />+ /trunk/src/Beha_BitStream_ram.v<br />+ /trunk/src/BitStream_buffer.v<br />+ /trunk/src/BitStream_controller.v<br />+ /trunk/src/bitstream_gclk_gen.v<br />+ /trunk/src/BitStream_parser_FSM_gating.v<br />+ /trunk/src/bs_decoding.v<br />+ /trunk/src/cavlc_consumed_bits_decoding.v<br />+ /trunk/src/cavlc_decoder.v<br />+ /trunk/src/CodedBlockPattern_decoding.v<br />+ /trunk/src/dependent_variable_decoding.v<br />+ /trunk/src/DF_mem_ctrl.v<br />+ /trunk/src/DF_pipeline.v<br />+ /trunk/src/DF_reg_ctrl.v<br />+ /trunk/src/DF_top.v<br />+ /trunk/src/end_of_blk_decoding.v<br />+ /trunk/src/exp_golomb_decoding.v<br />+ /trunk/src/ext_frame_RAM0_wrapper.v<br />+ /trunk/src/ext_frame_RAM1_wrapper.v<br />+ /trunk/src/ext_RAM_ctrl.v<br />+ /trunk/src/heading_one_detector.v<br />+ /trunk/src/hybrid_pipeline_ctrl.v<br />+ /trunk/src/Inter_mv_decoding.v<br />+ /trunk/src/Inter_pred_CPE.v<br />+ /trunk/src/Inter_pred_LPE.v<br />+ /trunk/src/Inter_pred_pipeline.v<br />+ /trunk/src/Inter_pred_reg_ctrl.v<br />+ /trunk/src/Inter_pred_sliding_window.v<br />+ /trunk/src/Inter_pred_top.v<br />+ /trunk/src/Intra4x4_PredMode_decoding.v<br />+ /trunk/src/Intra_pred_PE.v<br />+ /trunk/src/Intra_pred_pipeline.v<br />+ /trunk/src/Intra_pred_reg_ctrl.v<br />+ /trunk/src/Intra_pred_top.v<br />+ /trunk/src/IQIT.v<br />+ /trunk/src/level_decoding.v<br />+ /trunk/src/nC_decoding.v<br />+ /trunk/src/nova.v<br />+ /trunk/src/nova_defines.v<br />+ /trunk/src/nova_tb.v<br />+ /trunk/src/NumCoeffTrailingOnes_decoding.v<br />+ /trunk/src/pc_decoding.v<br />+ /trunk/src/QP_decoding.v<br />+ /trunk/src/ram_async_1r_sync_1w.v<br />+ /trunk/src/ram_sync_1r_sync_1w.v<br />+ /trunk/src/reconstruction.v<br />+ /trunk/src/rec_DF_RAM0_96x32.v<br />+ /trunk/src/rec_DF_RAM0_wrapper.v<br />+ /trunk/src/rec_DF_RAM1_96x32.v<br />+ /trunk/src/rec_DF_RAM1_wrapper.v<br />+ /trunk/src/rec_DF_RAM_ctrl.v<br />+ /trunk/src/rec_gclk_gen.v<br />+ /trunk/src/run_decoding.v<br />+ /trunk/src/sum.v<br />+ /trunk/src/syntax_decoding.v<br />+ /trunk/src/timescale.v<br />+ /trunk/src/total_zeros_decoding.v<br />+ /trunk/test<br />+ /trunk/test/readme.txt<br /> eexuke Wed, 30 Apr 2008 03:58:39 +0100 https://opencores.org/websvn//websvn/revision?repname=nova&path=%2Ftrunk%2Fdoc%2F&rev=2
© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.