OpenCores
URL https://opencores.org/ocsvn/or1k/or1k/trunk

Error creating feed file, please check write permissions.
or1k WebSVN RSS feed - or1k https://opencores.org/websvn//websvn/listing?repname=or1k&path=%2For1k%2Ftags%2Fhello-uart_v1_0%2F& Thu, 28 Mar 2024 17:53:09 +0100 FeedCreator 1.7.2 ... https://opencores.org/websvn//websvn/revision?repname=or1k&path=%2For1k%2Ftags%2Fhello-uart_v1_0%2F&rev=1765 <div><strong>Rev 1765 - root</strong> (267 file(s) modified)</div><div>...</div>- /branches<br />+ /or1k<br />+ /or1k/branches<br />+ /or1k/tags<br />+ /or1k/trunk<br />- /tags<br />- /trunk<br />+ /web_uploads<br />+ /web_uploads/arch.gif<br />+ /web_uploads/ats<br />+ /web_uploads/ats/build_binutils.status<br />+ /web_uploads/ats/build_binutils.txt<br />+ /web_uploads/ats/build_gdb.status<br />+ /web_uploads/ats/build_gdb.txt<br />+ /web_uploads/ats/build_newlib_gcc.status<br />+ /web_uploads/ats/build_newlib_gcc.txt<br />+ /web_uploads/ats/build_or1ksim.status<br />+ /web_uploads/ats/build_or1ksim.txt<br />+ /web_uploads/ats/build_uclibc.status<br />+ /web_uploads/ats/build_uclibc.txt<br />+ /web_uploads/ats/build_uclinux.status<br />+ /web_uploads/ats/checkout_binutils.txt<br />+ /web_uploads/ats/checkout_gdb.txt<br />+ /web_uploads/ats/checkout_newlib_gcc.txt<br />+ /web_uploads/ats/checkout_or1ksim.txt<br />+ /web_uploads/ats/checkout_uclibc.txt<br />+ /web_uploads/ats/checkout_uclinux.txt<br />+ /web_uploads/ats/index.shtml<br />+ /web_uploads/ats/or32-rtems<br />+ /web_uploads/ats/or32-rtems/build_binutils.status<br />+ /web_uploads/ats/or32-rtems/build_binutils.txt<br />+ /web_uploads/ats/or32-rtems/build_gdb.status<br />+ /web_uploads/ats/or32-rtems/build_gdb.txt<br />+ /web_uploads/ats/or32-rtems/build_newlib_gcc.status<br />+ /web_uploads/ats/or32-rtems/build_newlib_gcc.txt<br />+ /web_uploads/ats/or32-rtems/build_or1ksim.status<br />+ /web_uploads/ats/or32-rtems/build_or1ksim.txt<br />+ /web_uploads/ats/or32-rtems/checkout_binutils.txt<br />+ /web_uploads/ats/or32-rtems/checkout_gdb.txt<br />+ /web_uploads/ats/or32-rtems/checkout_newlib_gcc.txt<br />+ /web_uploads/ats/or32-rtems/checkout_or1ksim.txt<br />+ /web_uploads/ats/or32-rtems/last_ok<br />+ /web_uploads/ats/or32-rtems/last_ok/build_binutils.status<br />+ /web_uploads/ats/or32-rtems/last_ok/build_binutils.txt<br />+ /web_uploads/ats/or32-rtems/last_ok/build_gdb.status<br />+ /web_uploads/ats/or32-rtems/last_ok/build_gdb.txt<br />+ /web_uploads/ats/or32-rtems/last_ok/build_newlib_gcc.status<br />+ /web_uploads/ats/or32-rtems/last_ok/build_newlib_gcc.txt<br />+ /web_uploads/ats/or32-rtems/last_ok/build_or1ksim.status<br />+ /web_uploads/ats/or32-rtems/last_ok/build_or1ksim.txt<br />+ /web_uploads/ats/or32-rtems/last_ok/checkout_binutils.txt<br />+ /web_uploads/ats/or32-rtems/last_ok/checkout_gdb.txt<br />+ /web_uploads/ats/or32-rtems/last_ok/checkout_newlib_gcc.txt<br />+ /web_uploads/ats/or32-rtems/last_ok/checkout_or1ksim.txt<br />+ /web_uploads/ats/or32-rtems/or1k_ats_rtems.txt<br />+ /web_uploads/ats/or32-uclinux<br />+ /web_uploads/ats/or32-uclinux/build_binutils.status<br />+ /web_uploads/ats/or32-uclinux/build_binutils.txt<br />+ /web_uploads/ats/or32-uclinux/build_gcc.status<br />+ /web_uploads/ats/or32-uclinux/build_gcc.txt<br />+ /web_uploads/ats/or32-uclinux/build_gdb.status<br />+ /web_uploads/ats/or32-uclinux/build_gdb.txt<br />+ /web_uploads/ats/or32-uclinux/build_or1ksim.status<br />+ /web_uploads/ats/or32-uclinux/build_or1ksim.txt<br />+ /web_uploads/ats/or32-uclinux/build_uclibc.status<br />+ /web_uploads/ats/or32-uclinux/build_uclibc.txt<br />+ /web_uploads/ats/or32-uclinux/build_uclinux.status<br />+ /web_uploads/ats/or32-uclinux/build_uclinux.txt<br />+ /web_uploads/ats/or32-uclinux/checkout_binutils.txt<br />+ /web_uploads/ats/or32-uclinux/checkout_gcc.txt<br />+ /web_uploads/ats/or32-uclinux/checkout_gdb.txt<br />+ /web_uploads/ats/or32-uclinux/checkout_or1ksim.txt<br />+ /web_uploads/ats/or32-uclinux/checkout_uclibc.txt<br />+ /web_uploads/ats/or32-uclinux/checkout_uclinux.txt<br />+ /web_uploads/ats/or32-uclinux/last_ok<br />+ /web_uploads/ats/or32-uclinux/last_ok/build_binutils.status<br />+ /web_uploads/ats/or32-uclinux/last_ok/build_binutils.txt<br />+ /web_uploads/ats/or32-uclinux/last_ok/build_gcc.status<br />+ /web_uploads/ats/or32-uclinux/last_ok/build_gcc.txt<br />+ /web_uploads/ats/or32-uclinux/last_ok/build_gdb.status<br />+ /web_uploads/ats/or32-uclinux/last_ok/build_gdb.txt<br />+ /web_uploads/ats/or32-uclinux/last_ok/build_or1ksim.status<br />+ /web_uploads/ats/or32-uclinux/last_ok/build_or1ksim.txt<br />+ /web_uploads/ats/or32-uclinux/last_ok/build_uclibc.status<br />+ /web_uploads/ats/or32-uclinux/last_ok/build_uclibc.txt<br />+ /web_uploads/ats/or32-uclinux/last_ok/build_uclinux.status<br />+ /web_uploads/ats/or32-uclinux/last_ok/build_uclinux.txt<br />+ /web_uploads/ats/or32-uclinux/last_ok/checkout_binutils.txt<br />+ /web_uploads/ats/or32-uclinux/last_ok/checkout_gcc.txt<br />+ /web_uploads/ats/or32-uclinux/last_ok/checkout_gdb.txt<br />+ /web_uploads/ats/or32-uclinux/last_ok/checkout_or1ksim.txt<br />+ /web_uploads/ats/or32-uclinux/last_ok/checkout_uclibc.txt<br />+ /web_uploads/ats/or32-uclinux/last_ok/checkout_uclinux.txt<br />+ /web_uploads/ats/or32-uclinux/last_ok/or1ksim_testbench.status<br />+ /web_uploads/ats/or32-uclinux/last_ok/or1ksim_testbench.txt<br />+ /web_uploads/ats/or32-uclinux/last_ok/or1ksim_uclinux.status<br />+ /web_uploads/ats/or32-uclinux/last_ok/or1ksim_uclinux.txt<br />+ /web_uploads/ats/or32-uclinux/last_ok/rebuild_gcc.status<br />+ /web_uploads/ats/or32-uclinux/last_ok/rebuild_gcc.txt<br />+ /web_uploads/ats/or32-uclinux/or1ksim_testbench.status<br />+ /web_uploads/ats/or32-uclinux/or1ksim_testbench.txt<br />+ /web_uploads/ats/or32-uclinux/or1ksim_uclinux.status<br />+ /web_uploads/ats/or32-uclinux/or1ksim_uclinux.txt<br />+ /web_uploads/ats/or32-uclinux/or1k_ats_uclinux.txt<br />+ /web_uploads/ats/or32-uclinux/rebuild_gcc.status<br />+ /web_uploads/ats/or32-uclinux/rebuild_gcc.txt<br />+ /web_uploads/ats_tng<br />+ /web_uploads/block_diagram_marvin.gif<br />+ /web_uploads/demo_devid.jpg<br />+ /web_uploads/demo_devids.jpg<br />+ /web_uploads/demo_eth.jpg<br />+ /web_uploads/demo_eths.jpg<br />+ /web_uploads/DSCN0019.JPG<br />+ /web_uploads/DSCN0025.JPG<br />+ /web_uploads/DSCN0158.jpg<br />+ /web_uploads/flexboard_jtag.jpg<br />+ /web_uploads/flexboard_jtag_small.jpg<br />+ /web_uploads/FPGA Development Board.pdf<br />+ /web_uploads/good1.gif<br />+ /web_uploads/good1small.gif<br />+ /web_uploads/good2.gif<br />+ /web_uploads/good2small.gif<br />+ /web_uploads/good3.gif<br />+ /web_uploads/good3small.gif<br />+ /web_uploads/index.shtml<br />+ /web_uploads/jp1.jpg<br />+ /web_uploads/jp1_small.jpg<br />+ /web_uploads/lint<br />+ /web_uploads/lint/2004-05-09_22-11-53.log<br />+ /web_uploads/nanox.jpg<br />+ /web_uploads/nanox_small.jpg<br />+ /web_uploads/openrisc-HW-tutorial-Altera.pdf<br />+ /web_uploads/openrisc-HW-tutorial-Xilinx.pdf<br />+ /web_uploads/openrisc-SW-tutorial.pdf<br />+ /web_uploads/or1k-bin<br />+ /web_uploads/or1k-bin/ddd-2003-04-13.cygwin.tar.gz<br />+ /web_uploads/or1k-bin/or32-uclinux-2003-04-13.cygwin.tar.gz<br />+ /web_uploads/or1k-bin/or32-uclinux-2003-07-09.cygwin.tar.gz<br />+ /web_uploads/or1ksim-0.2.0-patch-2.0.bz2<br />+ /web_uploads/or1ksim-0.2.0.tar.gz<br />+ /web_uploads/or1ksim-0.2.0rc1.tar.gz<br />+ /web_uploads/or1ksim-0.2.0rc2.tar.gz<br />+ /web_uploads/or1ksim-0.2.0rc3.tar.gz<br />+ /web_uploads/or1ksim-0.3.0.pdf<br />+ /web_uploads/or1ksim-0.3.0.tar.bz2<br />+ /web_uploads/or1ksim-0.3.0rc1.tar.bz2<br />+ /web_uploads/or1ksim-0.3.0rc2.pdf<br />+ /web_uploads/or1ksim-0.3.0rc2.tar.bz2<br />+ /web_uploads/or1ksim-0.3.0rc3.pdf<br />+ /web_uploads/or1ksim-0.3.0rc3.tar.bz2<br />+ /web_uploads/or1ksim.pdf<br />+ /web_uploads/OR1K_ViASIC.pdf<br />+ /web_uploads/or1x00_roadmap.gif<br />+ /web_uploads/or1x00_roadmap_small.gif<br />+ /web_uploads/or32-gdb-6.8-patch-1.0.bz2<br />+ /web_uploads/or32-gdb-6.8-patch-2.0.bz2<br />+ /web_uploads/or32-gdb-6.8-patch-2.1.bz2<br />+ /web_uploads/or1200<br />+ /web_uploads/or1200.gif<br />+ /web_uploads/or1200/or1200_overview.pdf<br />+ /web_uploads/OR1200VectorDatapath.zip<br />+ /web_uploads/orpsoc-models-1.0.tar.bz2<br />+ /web_uploads/orp_camera.jpg<br />+ /web_uploads/orp_camera_small.jpg<br />+ /web_uploads/PC020011.JPG<br />+ /web_uploads/PC020014.JPG<br />+ /web_uploads/PC020015.JPG<br />+ /web_uploads/proj_marvin.gif<br />+ /web_uploads/proj_marvins.gif<br />+ /web_uploads/refman-or1ksim-0.3.0.pdf<br />+ /web_uploads/refman-or1ksim-0.3.0rc2.pdf<br />+ /web_uploads/refman-or1ksim-0.3.0rc3.pdf<br />+ /web_uploads/refman.pdf<br />+ /web_uploads/ROSUM.pdf<br />+ /web_uploads/simon_debugging.jpg<br />+ /web_uploads/simon_debugging_small.jpg<br />+ /web_uploads/stats<br />+ /web_uploads/stats/2004-02.png<br />+ /web_uploads/stats/2004-03.png<br />+ /web_uploads/stats/2004-04.png<br />+ /web_uploads/stats/2004-05.png<br />+ /web_uploads/stats/2004-06.png<br />+ /web_uploads/stats/2004-07.png<br />+ /web_uploads/stats/2004-08.png<br />+ /web_uploads/stats/2004-09.png<br />+ /web_uploads/stats/2004-10.png<br />+ /web_uploads/stats/2004-11.png<br />+ /web_uploads/stats/2005-02.png<br />+ /web_uploads/stats/2005-03.png<br />+ /web_uploads/stats/2005-04.png<br />+ /web_uploads/stats/2005-05.png<br />+ /web_uploads/stats/2005-06.png<br />+ /web_uploads/stats/2005-07.png<br />+ /web_uploads/stats/2005-08.png<br />+ /web_uploads/stats/2005-09.png<br />+ /web_uploads/stats/2005-10.png<br />+ /web_uploads/stats/2005-11.png<br />+ /web_uploads/stats/2005-12.png<br />+ /web_uploads/stats/2005.png<br />+ /web_uploads/stats/2006-01.png<br />+ /web_uploads/stats/2006-02.png<br />+ /web_uploads/stats/2006-03.png<br />+ /web_uploads/stats/2006-04.png<br />+ /web_uploads/stats/2006-05.png<br />+ /web_uploads/stats/2006-06.png<br />+ /web_uploads/stats/2006-07.png<br />+ /web_uploads/stats/2006-08.png<br />+ /web_uploads/stats/2006-09.png<br />+ /web_uploads/stats/2006-10.png<br />+ /web_uploads/stats/2006-11.png<br />+ /web_uploads/stats/2006-12.png<br />+ /web_uploads/stats/2006.png<br />+ /web_uploads/stats/2007-01.png<br />+ /web_uploads/stats/2007-02.png<br />+ /web_uploads/stats/2007-03.png<br />+ /web_uploads/stats/2007-04.png<br />+ /web_uploads/stats/2007-05.png<br />+ /web_uploads/stats/2007-06.png<br />+ /web_uploads/stats/2007-07.png<br />+ /web_uploads/stats/2007-08.png<br />+ /web_uploads/stats/2007-09.png<br />+ /web_uploads/stats/2007-10.png<br />+ /web_uploads/stats/2007-11.png<br />+ /web_uploads/stats/2007-12.png<br />+ /web_uploads/stats/2007.png<br />+ /web_uploads/stats/2008-01.png<br />+ /web_uploads/stats/2008-02.png<br />+ /web_uploads/stats/2008-03.png<br />+ /web_uploads/stats/2008-04.png<br />+ /web_uploads/stats/2008-05.png<br />+ /web_uploads/stats/2008-06.png<br />+ /web_uploads/stats/2008-07.png<br />+ /web_uploads/stats/2008-08.png<br />+ /web_uploads/stats/2008-09.png<br />+ /web_uploads/stats/2008-10.png<br />+ /web_uploads/stats/2008-11.png<br />+ /web_uploads/stats/2008-12.png<br />+ /web_uploads/stats/2008.png<br />+ /web_uploads/stats/2009-01.png<br />+ /web_uploads/stats/2009-02.png<br />+ /web_uploads/stats/2009-03.png<br />+ /web_uploads/stats/2009.png<br />+ /web_uploads/thumb_block_diagram_marvin.gif<br />+ /web_uploads/thumb_DSCN0019.JPG<br />+ /web_uploads/thumb_DSCN0025.JPG<br />+ /web_uploads/thumb_DSCN0158.jpg<br />+ /web_uploads/thumb_PC020011.JPG<br />+ /web_uploads/thumb_PC020014.JPG<br />+ /web_uploads/thumb_PC020015.JPG<br />+ /web_uploads/thumb_proj_marvin.gif<br />+ /web_uploads/ToolChain-HOWTO<br />+ /web_uploads/uClibc-0.9.28-20051120.tar.gz<br />+ /web_uploads/uclinux_boot.jpg<br />+ /web_uploads/uclinux_boot_small.jpg<br />+ /web_uploads/vip_large.jpg<br />+ /web_uploads/vip_small.jpg<br />+ /web_uploads/vmware_image<br />+ /web_uploads/vmware_image/MOF_ORSOC_TCHN_v3b.sh<br />+ /web_uploads/vmware_image/Ubuntu_OpenCores_0.1.tar.bz2<br />+ /web_uploads/voxi_success_story.pdf<br />+ /web_uploads/xess<br />+ /web_uploads/xess/classic.exo<br />+ /web_uploads/xess/cpld-tdm.svf<br />+ /web_uploads/xess/index.shtml<br />+ /web_uploads/xess/linux-35.exo<br />+ /web_uploads/xsv800.jpg<br />+ /web_uploads/xsv800_small.jpg<br /> root Tue, 10 Mar 2009 22:46:37 +0100 https://opencores.org/websvn//websvn/revision?repname=or1k&path=%2For1k%2Ftags%2Fhello-uart_v1_0%2F&rev=1765 This commit was manufactured by cvs2svn to create tag 'hello-uart_v1_0'. https://opencores.org/websvn//websvn/revision?repname=or1k&path=%2Ftags%2Fhello-uart_v1_0%2F&rev=1224 <div><strong>Rev 1224 - </strong> (19 file(s) modified)</div><div>This commit was manufactured by cvs2svn to create tag 'hello-uart_v1_0'.</div>+ /tags/hello-uart_v1_0<br />- /tags/hello-uart_v1_0/docs<br />- /tags/hello-uart_v1_0/gdb-5.0<br />- /tags/hello-uart_v1_0/gdb-5.3<br />- /tags/hello-uart_v1_0/gen_or1k_isa<br />- /tags/hello-uart_v1_0/insight<br />- /tags/hello-uart_v1_0/jtag<br />- /tags/hello-uart_v1_0/mp3<br />- /tags/hello-uart_v1_0/mw<br />- /tags/hello-uart_v1_0/newlib<br />- /tags/hello-uart_v1_0/newlib-1.10.0<br />- /tags/hello-uart_v1_0/or1ksim<br />- /tags/hello-uart_v1_0/or1200<br />- /tags/hello-uart_v1_0/orp<br />- /tags/hello-uart_v1_0/orpmon<br />- /tags/hello-uart_v1_0/rtems<br />- /tags/hello-uart_v1_0/rtems-20020807<br />- /tags/hello-uart_v1_0/uclinux<br />- /tags/hello-uart_v1_0/xess<br /> Wed, 07 Jan 2004 10:51:13 +0100 https://opencores.org/websvn//websvn/revision?repname=or1k&path=%2Ftags%2Fhello-uart_v1_0%2F&rev=1224 first import https://opencores.org/websvn//websvn/revision?repname=or1k&path=%2Ftrunk%2F&rev=1223 <div><strong>Rev 1223 - dries</strong> (12 file(s) modified)</div><div>first import</div>+ /trunk/hello-uart<br />+ /trunk/hello-uart/board.h<br />+ /trunk/hello-uart/hello.c<br />+ /trunk/hello-uart/Makefile<br />+ /trunk/hello-uart/mc.h<br />+ /trunk/hello-uart/ram.ld<br />+ /trunk/hello-uart/README.txt<br />+ /trunk/hello-uart/reset.S<br />+ /trunk/hello-uart/sim.cfg<br />+ /trunk/hello-uart/uart.h<br />+ /trunk/hello-uart/uart0.rx<br />+ /trunk/hello-uart/uart0.tx<br /> dries Wed, 07 Jan 2004 10:51:12 +0100 https://opencores.org/websvn//websvn/revision?repname=or1k&path=%2Ftrunk%2F&rev=1223 cfmakeraw is not avaliable on cygwin https://opencores.org/websvn//websvn/revision?repname=or1k&path=%2Ftrunk%2F&rev=1222 <div><strong>Rev 1222 - phoenix</strong> (1 file(s) modified)</div><div>cfmakeraw is not avaliable on cygwin</div>~ /trunk/or1ksim/peripheral/channels/tty.c<br /> phoenix Tue, 06 Jan 2004 02:14:59 +0100 https://opencores.org/websvn//websvn/revision?repname=or1k&path=%2Ftrunk%2F&rev=1222 segfault when there is no memory context fix https://opencores.org/websvn//websvn/revision?repname=or1k&path=%2Ftrunk%2F&rev=1218 <div><strong>Rev 1218 - phoenix</strong> (1 file(s) modified)</div><div>segfault when there is no memory context fix</div>~ /trunk/or1ksim/cpu/common/abstract.c<br /> phoenix Sat, 13 Dec 2003 01:27:06 +0100 https://opencores.org/websvn//websvn/revision?repname=or1k&path=%2Ftrunk%2F&rev=1218 New wb_biu for iwb interface. https://opencores.org/websvn//websvn/revision?repname=or1k&path=%2Ftrunk%2F&rev=1211 <div><strong>Rev 1211 - lampret</strong> (1 file(s) modified)</div><div>New wb_biu for iwb interface.</div>+ /trunk/or1200/rtl/verilog/or1200_iwb_biu.v<br /> lampret Fri, 05 Dec 2003 00:12:08 +0100 https://opencores.org/websvn//websvn/revision?repname=or1k&path=%2Ftrunk%2F&rev=1211 Added useless signal genpc_stop_refetch. https://opencores.org/websvn//websvn/revision?repname=or1k&path=%2Ftrunk%2F&rev=1208 <div><strong>Rev 1208 - lampret</strong> (2 file(s) modified)</div><div>Added useless signal genpc_stop_refetch.</div>~ /trunk/or1200/rtl/verilog/or1200_cpu.v<br />~ /trunk/or1200/rtl/verilog/or1200_if.v<br /> lampret Fri, 05 Dec 2003 00:08:07 +0100 https://opencores.org/websvn//websvn/revision?repname=or1k&path=%2Ftrunk%2F&rev=1208 Static exception prefix. https://opencores.org/websvn//websvn/revision?repname=or1k&path=%2Ftrunk%2F&rev=1207 <div><strong>Rev 1207 - lampret</strong> (2 file(s) modified)</div><div>Static exception prefix.</div>~ /branches/branch_qmem/or1200/rtl/verilog/or1200_defines.v<br />~ /trunk/or1200/rtl/verilog/or1200_sprs.v<br /> lampret Fri, 05 Dec 2003 00:05:25 +0100 https://opencores.org/websvn//websvn/revision?repname=or1k&path=%2Ftrunk%2F&rev=1207 fix for gdb_debug config https://opencores.org/websvn//websvn/revision?repname=or1k&path=%2Ftrunk%2F&rev=1205 <div><strong>Rev 1205 - phoenix</strong> (1 file(s) modified)</div><div>fix for gdb_debug config</div>~ /trunk/or1ksim/sim-config.c<br /> phoenix Fri, 28 Nov 2003 15:04:07 +0100 https://opencores.org/websvn//websvn/revision?repname=or1k&path=%2Ftrunk%2F&rev=1205 added additional field into executed log wich besides EA also ... https://opencores.org/websvn//websvn/revision?repname=or1k&path=%2Ftrunk%2F&rev=1204 <div><strong>Rev 1204 - phoenix</strong> (1 file(s) modified)</div><div>added additional field into executed log wich besides EA also ...</div>~ /trunk/or1ksim/cpu/or32/execute.c<br /> phoenix Tue, 11 Nov 2003 03:42:28 +0100 https://opencores.org/websvn//websvn/revision?repname=or1k&path=%2Ftrunk%2F&rev=1204 value stored in ITLB and DTLB match registers was wrong. ... https://opencores.org/websvn//websvn/revision?repname=or1k&path=%2Ftrunk%2F&rev=1203 <div><strong>Rev 1203 - phoenix</strong> (1 file(s) modified)</div><div>value stored in ITLB and DTLB match registers was wrong. ...</div>~ /trunk/or1ksim/cpu/or1k/sprs.c<br /> phoenix Tue, 11 Nov 2003 03:39:09 +0100 https://opencores.org/websvn//websvn/revision?repname=or1k&path=%2Ftrunk%2F&rev=1203 at exception print insn number to ease debugging https://opencores.org/websvn//websvn/revision?repname=or1k&path=%2Ftrunk%2F&rev=1202 <div><strong>Rev 1202 - phoenix</strong> (1 file(s) modified)</div><div>at exception print insn number to ease debugging</div>~ /trunk/or1ksim/cpu/or1k/except.c<br /> phoenix Tue, 11 Nov 2003 03:27:09 +0100 https://opencores.org/websvn//websvn/revision?repname=or1k&path=%2Ftrunk%2F&rev=1202 mbist signals updated according to newest convention https://opencores.org/websvn//websvn/revision?repname=or1k&path=%2Ftrunk%2F&rev=1200 <div><strong>Rev 1200 - markom</strong> (23 file(s) modified)</div><div>mbist signals updated according to newest convention</div>~ /trunk/or1200/rtl/verilog/or1200_dc_ram.v<br />~ /trunk/or1200/rtl/verilog/or1200_dc_tag.v<br />~ /trunk/or1200/rtl/verilog/or1200_dc_top.v<br />~ /trunk/or1200/rtl/verilog/or1200_defines.v<br />~ /trunk/or1200/rtl/verilog/or1200_dmmu_tlb.v<br />~ /trunk/or1200/rtl/verilog/or1200_dmmu_top.v<br />~ /trunk/or1200/rtl/verilog/or1200_ic_ram.v<br />~ /trunk/or1200/rtl/verilog/or1200_ic_tag.v<br />~ /trunk/or1200/rtl/verilog/or1200_ic_top.v<br />~ /trunk/or1200/rtl/verilog/or1200_immu_tlb.v<br />~ /trunk/or1200/rtl/verilog/or1200_immu_top.v<br />~ /trunk/or1200/rtl/verilog/or1200_spram_64x14.v<br />~ /trunk/or1200/rtl/verilog/or1200_spram_64x22.v<br />~ /trunk/or1200/rtl/verilog/or1200_spram_64x24.v<br />~ /trunk/or1200/rtl/verilog/or1200_spram_256x21.v<br />~ /trunk/or1200/rtl/verilog/or1200_spram_512x20.v<br />~ /trunk/or1200/rtl/verilog/or1200_spram_1024x8.v<br />~ /trunk/or1200/rtl/verilog/or1200_spram_1024x32.v<br />~ /trunk/or1200/rtl/verilog/or1200_spram_1024x32_bw.v<br />~ /trunk/or1200/rtl/verilog/or1200_spram_2048x8.v<br />~ /trunk/or1200/rtl/verilog/or1200_spram_2048x32.v<br />~ /trunk/or1200/rtl/verilog/or1200_spram_2048x32_bw.v<br />~ /trunk/or1200/rtl/verilog/or1200_top.v<br /> markom Fri, 17 Oct 2003 07:59:44 +0100 https://opencores.org/websvn//websvn/revision?repname=or1k&path=%2Ftrunk%2F&rev=1200 Daniel Wiklund: Removed multiple entries of debug/Makefile in configure https://opencores.org/websvn//websvn/revision?repname=or1k&path=%2Ftrunk%2F&rev=1199 <div><strong>Rev 1199 - danwi</strong> (1 file(s) modified)</div><div>Daniel Wiklund: Removed multiple entries of debug/Makefile in configure</div>~ /trunk/or1ksim/configure<br /> danwi Mon, 13 Oct 2003 07:22:13 +0100 https://opencores.org/websvn//websvn/revision?repname=or1k&path=%2Ftrunk%2F&rev=1199 make it compile on RH 8,9 https://opencores.org/websvn//websvn/revision?repname=or1k&path=%2Ftrunk%2F&rev=1198 <div><strong>Rev 1198 - phoenix</strong> (1 file(s) modified)</div><div>make it compile on RH 8,9</div>~ /trunk/or1ksim/Makefile.in<br /> phoenix Wed, 17 Sep 2003 16:29:38 +0100 https://opencores.org/websvn//websvn/revision?repname=or1k&path=%2Ftrunk%2F&rev=1198 disabled ram-init of ps2 (old) + changed MAC type into DOS ... https://opencores.org/websvn//websvn/revision?repname=or1k&path=%2Ftrunk%2F&rev=1197 <div><strong>Rev 1197 - dries</strong> (1 file(s) modified)</div><div>disabled ram-init of ps2 (old) +<br /> changed MAC type into DOS ...</div>~ /trunk/orp/orp_soc/backend/xilinx/xsv_fpga_top.ucf<br /> dries Fri, 12 Sep 2003 11:47:08 +0100 https://opencores.org/websvn//websvn/revision?repname=or1k&path=%2Ftrunk%2F&rev=1197 removed second debug/Makefile (credits: Daniel Wiklund - danwi@isy.liu.se) https://opencores.org/websvn//websvn/revision?repname=or1k&path=%2Ftrunk%2F&rev=1196 <div><strong>Rev 1196 - dries</strong> (1 file(s) modified)</div><div>removed second debug/Makefile (credits: Daniel Wiklund - <a href="mailto:danwi@isy.liu.se">danwi@isy.liu.se</a>)</div>~ /trunk/or1ksim/configure.in<br /> dries Fri, 12 Sep 2003 10:17:42 +0100 https://opencores.org/websvn//websvn/revision?repname=or1k&path=%2Ftrunk%2F&rev=1196 made the project file a little bit more universal https://opencores.org/websvn//websvn/revision?repname=or1k&path=%2Ftrunk%2F&rev=1195 <div><strong>Rev 1195 - dries</strong> (1 file(s) modified)</div><div>made the project file a little bit more universal</div>~ /trunk/orp/orp_soc/syn/synplify/xsv_fpga_top.prj<br /> dries Fri, 12 Sep 2003 09:14:09 +0100 https://opencores.org/websvn//websvn/revision?repname=or1k&path=%2Ftrunk%2F&rev=1195 correct all the syntax errors https://opencores.org/websvn//websvn/revision?repname=or1k&path=%2Ftrunk%2F&rev=1194 <div><strong>Rev 1194 - dries</strong> (1 file(s) modified)</div><div>correct all the syntax errors</div>~ /trunk/or1200/rtl/verilog/or1200_spram_2048x32_bw.v<br /> dries Fri, 12 Sep 2003 09:03:54 +0100 https://opencores.org/websvn//websvn/revision?repname=or1k&path=%2Ftrunk%2F&rev=1194 disabled SRAM_GENERIC and added comment + corrected 'wb_err' into 'wb_err_o' https://opencores.org/websvn//websvn/revision?repname=or1k&path=%2Ftrunk%2F&rev=1193 <div><strong>Rev 1193 - dries</strong> (1 file(s) modified)</div><div>disabled SRAM_GENERIC and added comment +<br /> corrected 'wb_err' into 'wb_err_o'</div>~ /trunk/orp/orp_soc/rtl/verilog/mem_if/sram_top.v<br /> dries Fri, 12 Sep 2003 08:59:01 +0100 https://opencores.org/websvn//websvn/revision?repname=or1k&path=%2Ftrunk%2F&rev=1193
© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.