OpenCores
URL https://opencores.org/ocsvn/z80soc/z80soc/trunk

Error creating feed file, please check write permissions.
z80soc WebSVN RSS feed - z80soc https://opencores.org/websvn//websvn/listing?repname=z80soc&path=& Thu, 28 Mar 2024 09:56:49 +0100 FeedCreator 1.7.2 Version 0.7.3 for Altera DE1 and DE2-115. Includes C library ... https://opencores.org/websvn//websvn/revision?repname=z80soc&path=%2F&rev=46 <div><strong>Rev 46 - rrred</strong> (631 file(s) modified)</div><div>Version 0.7.3 for Altera DE1 and DE2-115. Includes C library ...</div>+ /z80soc/trunk/V0.7.3<br />+ /z80soc/trunk/V0.7.3/DE1<br />+ /z80soc/trunk/V0.7.3/DE1/073DE1d.qws<br />+ /z80soc/trunk/V0.7.3/DE1/db<br />+ /z80soc/trunk/V0.7.3/DE1/db/073DE1d.db_info<br />+ /z80soc/trunk/V0.7.3/DE1/db/altsyncram_1v91.tdf<br />+ /z80soc/trunk/V0.7.3/DE1/db/altsyncram_30o1.tdf<br />+ /z80soc/trunk/V0.7.3/DE1/db/altsyncram_36o1.tdf<br />+ /z80soc/trunk/V0.7.3/DE1/db/altsyncram_66l1.tdf<br />+ /z80soc/trunk/V0.7.3/DE1/db/altsyncram_fq91.tdf<br />+ /z80soc/trunk/V0.7.3/DE1/db/altsyncram_h1o1.tdf<br />+ /z80soc/trunk/V0.7.3/DE1/db/altsyncram_l4o1.tdf<br />+ /z80soc/trunk/V0.7.3/DE1/db/altsyncram_m4o1.tdf<br />+ /z80soc/trunk/V0.7.3/DE1/db/altsyncram_oal1.tdf<br />+ /z80soc/trunk/V0.7.3/DE1/db/altsyncram_pal1.tdf<br />+ /z80soc/trunk/V0.7.3/DE1/db/altsyncram_tr91.tdf<br />+ /z80soc/trunk/V0.7.3/DE1/db/decode_1oa.tdf<br />+ /z80soc/trunk/V0.7.3/DE1/db/decode_4oa.tdf<br />+ /z80soc/trunk/V0.7.3/DE1/db/decode_c8a.tdf<br />+ /z80soc/trunk/V0.7.3/DE1/db/logic_util_heursitic.dat<br />+ /z80soc/trunk/V0.7.3/DE1/db/mux_3nb.tdf<br />+ /z80soc/trunk/V0.7.3/DE1/db/mux_hib.tdf<br />+ /z80soc/trunk/V0.7.3/DE1/db/mux_kib.tdf<br />+ /z80soc/trunk/V0.7.3/DE1/db/prev_cmp_z80soc.qmsg<br />+ /z80soc/trunk/V0.7.3/DE1/db/z80soc.(0).cnf.cdb<br />+ /z80soc/trunk/V0.7.3/DE1/db/z80soc.(0).cnf.hdb<br />+ /z80soc/trunk/V0.7.3/DE1/db/z80soc.(1).cnf.cdb<br />+ /z80soc/trunk/V0.7.3/DE1/db/z80soc.(1).cnf.hdb<br />+ /z80soc/trunk/V0.7.3/DE1/db/z80soc.(2).cnf.cdb<br />+ /z80soc/trunk/V0.7.3/DE1/db/z80soc.(2).cnf.hdb<br />+ /z80soc/trunk/V0.7.3/DE1/db/z80soc.(3).cnf.cdb<br />+ /z80soc/trunk/V0.7.3/DE1/db/z80soc.(3).cnf.hdb<br />+ /z80soc/trunk/V0.7.3/DE1/db/z80soc.(4).cnf.cdb<br />+ /z80soc/trunk/V0.7.3/DE1/db/z80soc.(4).cnf.hdb<br />+ /z80soc/trunk/V0.7.3/DE1/db/z80soc.(5).cnf.cdb<br />+ /z80soc/trunk/V0.7.3/DE1/db/z80soc.(5).cnf.hdb<br />+ /z80soc/trunk/V0.7.3/DE1/db/z80soc.(6).cnf.cdb<br />+ /z80soc/trunk/V0.7.3/DE1/db/z80soc.(6).cnf.hdb<br />+ /z80soc/trunk/V0.7.3/DE1/db/z80soc.(7).cnf.cdb<br />+ /z80soc/trunk/V0.7.3/DE1/db/z80soc.(7).cnf.hdb<br />+ /z80soc/trunk/V0.7.3/DE1/db/z80soc.(8).cnf.cdb<br />+ /z80soc/trunk/V0.7.3/DE1/db/z80soc.(8).cnf.hdb<br />+ /z80soc/trunk/V0.7.3/DE1/db/z80soc.(9).cnf.cdb<br />+ /z80soc/trunk/V0.7.3/DE1/db/z80soc.(9).cnf.hdb<br />+ /z80soc/trunk/V0.7.3/DE1/db/z80soc.(10).cnf.cdb<br />+ /z80soc/trunk/V0.7.3/DE1/db/z80soc.(10).cnf.hdb<br />+ /z80soc/trunk/V0.7.3/DE1/db/z80soc.(11).cnf.cdb<br />+ /z80soc/trunk/V0.7.3/DE1/db/z80soc.(11).cnf.hdb<br />+ /z80soc/trunk/V0.7.3/DE1/db/z80soc.(12).cnf.cdb<br />+ /z80soc/trunk/V0.7.3/DE1/db/z80soc.(12).cnf.hdb<br />+ /z80soc/trunk/V0.7.3/DE1/db/z80soc.(13).cnf.cdb<br />+ /z80soc/trunk/V0.7.3/DE1/db/z80soc.(13).cnf.hdb<br />+ /z80soc/trunk/V0.7.3/DE1/db/z80soc.(14).cnf.cdb<br />+ /z80soc/trunk/V0.7.3/DE1/db/z80soc.(14).cnf.hdb<br />+ /z80soc/trunk/V0.7.3/DE1/db/z80soc.(15).cnf.cdb<br />+ /z80soc/trunk/V0.7.3/DE1/db/z80soc.(15).cnf.hdb<br />+ /z80soc/trunk/V0.7.3/DE1/db/z80soc.(16).cnf.cdb<br />+ /z80soc/trunk/V0.7.3/DE1/db/z80soc.(16).cnf.hdb<br />+ /z80soc/trunk/V0.7.3/DE1/db/z80soc.(17).cnf.cdb<br />+ /z80soc/trunk/V0.7.3/DE1/db/z80soc.(17).cnf.hdb<br />+ /z80soc/trunk/V0.7.3/DE1/db/z80soc.(18).cnf.cdb<br />+ /z80soc/trunk/V0.7.3/DE1/db/z80soc.(18).cnf.hdb<br />+ /z80soc/trunk/V0.7.3/DE1/db/z80soc.(19).cnf.cdb<br />+ /z80soc/trunk/V0.7.3/DE1/db/z80soc.(19).cnf.hdb<br />+ /z80soc/trunk/V0.7.3/DE1/db/z80soc.(20).cnf.cdb<br />+ /z80soc/trunk/V0.7.3/DE1/db/z80soc.(20).cnf.hdb<br />+ /z80soc/trunk/V0.7.3/DE1/db/z80soc.(21).cnf.cdb<br />+ /z80soc/trunk/V0.7.3/DE1/db/z80soc.(21).cnf.hdb<br />+ /z80soc/trunk/V0.7.3/DE1/db/z80soc.(22).cnf.cdb<br />+ /z80soc/trunk/V0.7.3/DE1/db/z80soc.(22).cnf.hdb<br />+ /z80soc/trunk/V0.7.3/DE1/db/z80soc.(23).cnf.cdb<br />+ /z80soc/trunk/V0.7.3/DE1/db/z80soc.(23).cnf.hdb<br />+ /z80soc/trunk/V0.7.3/DE1/db/z80soc.(24).cnf.cdb<br />+ /z80soc/trunk/V0.7.3/DE1/db/z80soc.(24).cnf.hdb<br />+ /z80soc/trunk/V0.7.3/DE1/db/z80soc.(25).cnf.cdb<br />+ /z80soc/trunk/V0.7.3/DE1/db/z80soc.(25).cnf.hdb<br />+ /z80soc/trunk/V0.7.3/DE1/db/z80soc.(26).cnf.cdb<br />+ /z80soc/trunk/V0.7.3/DE1/db/z80soc.(26).cnf.hdb<br />+ /z80soc/trunk/V0.7.3/DE1/db/z80soc.(27).cnf.cdb<br />+ /z80soc/trunk/V0.7.3/DE1/db/z80soc.(27).cnf.hdb<br />+ /z80soc/trunk/V0.7.3/DE1/db/z80soc.(28).cnf.cdb<br />+ /z80soc/trunk/V0.7.3/DE1/db/z80soc.(28).cnf.hdb<br />+ /z80soc/trunk/V0.7.3/DE1/db/z80soc.(29).cnf.cdb<br />+ /z80soc/trunk/V0.7.3/DE1/db/z80soc.(29).cnf.hdb<br />+ /z80soc/trunk/V0.7.3/DE1/db/z80soc.(30).cnf.cdb<br />+ /z80soc/trunk/V0.7.3/DE1/db/z80soc.(30).cnf.hdb<br />+ /z80soc/trunk/V0.7.3/DE1/db/z80soc.asm.qmsg<br />+ /z80soc/trunk/V0.7.3/DE1/db/z80soc.asm.rdb<br />+ /z80soc/trunk/V0.7.3/DE1/db/z80soc.asm_labs.ddb<br />+ /z80soc/trunk/V0.7.3/DE1/db/z80soc.cbx.xml<br />+ /z80soc/trunk/V0.7.3/DE1/db/z80soc.cmp.bpm<br />+ /z80soc/trunk/V0.7.3/DE1/db/z80soc.cmp.cdb<br />+ /z80soc/trunk/V0.7.3/DE1/db/z80soc.cmp.hdb<br />+ /z80soc/trunk/V0.7.3/DE1/db/z80soc.cmp.idb<br />+ /z80soc/trunk/V0.7.3/DE1/db/z80soc.cmp.kpt<br />+ /z80soc/trunk/V0.7.3/DE1/db/z80soc.cmp.logdb<br />+ /z80soc/trunk/V0.7.3/DE1/db/z80soc.cmp.rdb<br />+ /z80soc/trunk/V0.7.3/DE1/db/z80soc.cmp0.ddb<br />+ /z80soc/trunk/V0.7.3/DE1/db/z80soc.cmp1.ddb<br />+ /z80soc/trunk/V0.7.3/DE1/db/z80soc.cmp2.ddb<br />+ /z80soc/trunk/V0.7.3/DE1/db/z80soc.cmp_merge.kpt<br />+ /z80soc/trunk/V0.7.3/DE1/db/z80soc.cycloneive_io_sim_cache.45um_ff_1200mv_0c_fast.hsd<br />+ /z80soc/trunk/V0.7.3/DE1/db/z80soc.cycloneive_io_sim_cache.45um_ii_1200mv_85c_slow.hsd<br />+ /z80soc/trunk/V0.7.3/DE1/db/z80soc.db_info<br />+ /z80soc/trunk/V0.7.3/DE1/db/z80soc.fit.qmsg<br />+ /z80soc/trunk/V0.7.3/DE1/db/z80soc.hier_info<br />+ /z80soc/trunk/V0.7.3/DE1/db/z80soc.hif<br />+ /z80soc/trunk/V0.7.3/DE1/db/z80soc.ipinfo<br />+ /z80soc/trunk/V0.7.3/DE1/db/z80soc.lpc.html<br />+ /z80soc/trunk/V0.7.3/DE1/db/z80soc.lpc.rdb<br />+ /z80soc/trunk/V0.7.3/DE1/db/z80soc.lpc.txt<br />+ /z80soc/trunk/V0.7.3/DE1/db/z80soc.map.ammdb<br />+ /z80soc/trunk/V0.7.3/DE1/db/z80soc.map.bpm<br />+ /z80soc/trunk/V0.7.3/DE1/db/z80soc.map.cdb<br />+ /z80soc/trunk/V0.7.3/DE1/db/z80soc.map.hdb<br />+ /z80soc/trunk/V0.7.3/DE1/db/z80soc.map.kpt<br />+ /z80soc/trunk/V0.7.3/DE1/db/z80soc.map.logdb<br />+ /z80soc/trunk/V0.7.3/DE1/db/z80soc.map.qmsg<br />+ /z80soc/trunk/V0.7.3/DE1/db/z80soc.map.rdb<br />+ /z80soc/trunk/V0.7.3/DE1/db/z80soc.map_bb.cdb<br />+ /z80soc/trunk/V0.7.3/DE1/db/z80soc.map_bb.hdb<br />+ /z80soc/trunk/V0.7.3/DE1/db/z80soc.map_bb.logdb<br />+ /z80soc/trunk/V0.7.3/DE1/db/z80soc.mif_update.qmsg<br />+ /z80soc/trunk/V0.7.3/DE1/db/z80soc.pre_map.hdb<br />+ /z80soc/trunk/V0.7.3/DE1/db/z80soc.pti_db_list.ddb<br />+ /z80soc/trunk/V0.7.3/DE1/db/z80soc.quiproj.316.rdr.flock<br />+ /z80soc/trunk/V0.7.3/DE1/db/z80soc.root_partition.map.reg_db.cdb<br />+ /z80soc/trunk/V0.7.3/DE1/db/z80soc.routing.rdb<br />+ /z80soc/trunk/V0.7.3/DE1/db/z80soc.rtlv.hdb<br />+ /z80soc/trunk/V0.7.3/DE1/db/z80soc.rtlv_sg.cdb<br />+ /z80soc/trunk/V0.7.3/DE1/db/z80soc.rtlv_sg_swap.cdb<br />+ /z80soc/trunk/V0.7.3/DE1/db/z80soc.sgdiff.cdb<br />+ /z80soc/trunk/V0.7.3/DE1/db/z80soc.sgdiff.hdb<br />+ /z80soc/trunk/V0.7.3/DE1/db/z80soc.sld_design_entry.sci<br />+ /z80soc/trunk/V0.7.3/DE1/db/z80soc.sld_design_entry_dsc.sci<br />+ /z80soc/trunk/V0.7.3/DE1/db/z80soc.smart_action.txt<br />+ /z80soc/trunk/V0.7.3/DE1/db/z80soc.sta.qmsg<br />+ /z80soc/trunk/V0.7.3/DE1/db/z80soc.sta.rdb<br />+ /z80soc/trunk/V0.7.3/DE1/db/z80soc.sta_cmp.7_slow.tdb<br />+ /z80soc/trunk/V0.7.3/DE1/db/z80soc.syn_hier_info<br />+ /z80soc/trunk/V0.7.3/DE1/db/z80soc.tis_db_list.ddb<br />+ /z80soc/trunk/V0.7.3/DE1/db/z80soc.tmw_info<br />+ /z80soc/trunk/V0.7.3/DE1/db/z80soc.vpr.ammdb<br />+ /z80soc/trunk/V0.7.3/DE1/greybox_tmp<br />+ /z80soc/trunk/V0.7.3/DE1/greybox_tmp/cbx_args.txt<br />+ /z80soc/trunk/V0.7.3/DE1/incremental_db<br />+ /z80soc/trunk/V0.7.3/DE1/incremental_db/compiled_partitions<br />+ /z80soc/trunk/V0.7.3/DE1/incremental_db/compiled_partitions/z80soc.db_info<br />+ /z80soc/trunk/V0.7.3/DE1/incremental_db/compiled_partitions/z80soc.root_partition.cmp.ammdb<br />+ /z80soc/trunk/V0.7.3/DE1/incremental_db/compiled_partitions/z80soc.root_partition.cmp.cdb<br />+ /z80soc/trunk/V0.7.3/DE1/incremental_db/compiled_partitions/z80soc.root_partition.cmp.dfp<br />+ /z80soc/trunk/V0.7.3/DE1/incremental_db/compiled_partitions/z80soc.root_partition.cmp.hdb<br />+ /z80soc/trunk/V0.7.3/DE1/incremental_db/compiled_partitions/z80soc.root_partition.cmp.kpt<br />+ /z80soc/trunk/V0.7.3/DE1/incremental_db/compiled_partitions/z80soc.root_partition.cmp.logdb<br />+ /z80soc/trunk/V0.7.3/DE1/incremental_db/compiled_partitions/z80soc.root_partition.cmp.rcfdb<br />+ /z80soc/trunk/V0.7.3/DE1/incremental_db/compiled_partitions/z80soc.root_partition.map.cdb<br />+ /z80soc/trunk/V0.7.3/DE1/incremental_db/compiled_partitions/z80soc.root_partition.map.dpi<br />+ /z80soc/trunk/V0.7.3/DE1/incremental_db/compiled_partitions/z80soc.root_partition.map.hbdb.cdb<br />+ /z80soc/trunk/V0.7.3/DE1/incremental_db/compiled_partitions/z80soc.root_partition.map.hbdb.hb_info<br />+ /z80soc/trunk/V0.7.3/DE1/incremental_db/compiled_partitions/z80soc.root_partition.map.hbdb.hdb<br />+ /z80soc/trunk/V0.7.3/DE1/incremental_db/compiled_partitions/z80soc.root_partition.map.hbdb.sig<br />+ /z80soc/trunk/V0.7.3/DE1/incremental_db/compiled_partitions/z80soc.root_partition.map.hdb<br />+ /z80soc/trunk/V0.7.3/DE1/incremental_db/compiled_partitions/z80soc.root_partition.map.kpt<br />+ /z80soc/trunk/V0.7.3/DE1/incremental_db/README<br />+ /z80soc/trunk/V0.7.3/DE1/memoryCores<br />+ /z80soc/trunk/V0.7.3/DE1/memoryCores/charram.vhd<br />+ /z80soc/trunk/V0.7.3/DE1/memoryCores/charram.vhd.bak<br />+ /z80soc/trunk/V0.7.3/DE1/memoryCores/charram2k.vhd.bak<br />+ /z80soc/trunk/V0.7.3/DE1/memoryCores/rom.qip<br />+ /z80soc/trunk/V0.7.3/DE1/memoryCores/rom.vhd<br />+ /z80soc/trunk/V0.7.3/DE1/memoryCores/vram.vhd<br />+ /z80soc/trunk/V0.7.3/DE1/memoryCores/vram.vhd.bak<br />+ /z80soc/trunk/V0.7.3/DE1/rom.qip<br />+ /z80soc/trunk/V0.7.3/DE1/vhdl<br />+ /z80soc/trunk/V0.7.3/DE1/vhdl/char_rom.VHD<br />+ /z80soc/trunk/V0.7.3/DE1/vhdl/clk_div.vhd<br />+ /z80soc/trunk/V0.7.3/DE1/vhdl/clk_div.vhd.bak<br />+ /z80soc/trunk/V0.7.3/DE1/vhdl/DebugSystem.vhd<br />+ /z80soc/trunk/V0.7.3/DE1/vhdl/DebugSystemXR.vhd<br />+ /z80soc/trunk/V0.7.3/DE1/vhdl/decoder_7seg.vhd<br />+ /z80soc/trunk/V0.7.3/DE1/vhdl/keyboard.VHD<br />+ /z80soc/trunk/V0.7.3/DE1/vhdl/lcd.vhd<br />+ /z80soc/trunk/V0.7.3/DE1/vhdl/PLL_Clocks.cmp<br />+ /z80soc/trunk/V0.7.3/DE1/vhdl/PLL_Clocks.ppf<br />+ /z80soc/trunk/V0.7.3/DE1/vhdl/PLL_Clocks.qip<br />+ /z80soc/trunk/V0.7.3/DE1/vhdl/PLL_Clocks.vhd<br />+ /z80soc/trunk/V0.7.3/DE1/vhdl/PLL_Clocks_inst.vhd<br />+ /z80soc/trunk/V0.7.3/DE1/vhdl/ps2bkd.vhd<br />+ /z80soc/trunk/V0.7.3/DE1/vhdl/SSRAM.vhd<br />+ /z80soc/trunk/V0.7.3/DE1/vhdl/SSRAM2.vhd<br />+ /z80soc/trunk/V0.7.3/DE1/vhdl/SSRAMX.vhd<br />+ /z80soc/trunk/V0.7.3/DE1/vhdl/T80.vhd<br />+ /z80soc/trunk/V0.7.3/DE1/vhdl/T80a.vhd<br />+ /z80soc/trunk/V0.7.3/DE1/vhdl/T80s.vhd<br />+ /z80soc/trunk/V0.7.3/DE1/vhdl/T80se.vhd<br />+ /z80soc/trunk/V0.7.3/DE1/vhdl/T80sed.vhd<br />+ /z80soc/trunk/V0.7.3/DE1/vhdl/T80_ALU.vhd<br />+ /z80soc/trunk/V0.7.3/DE1/vhdl/T80_MCode.vhd<br />+ /z80soc/trunk/V0.7.3/DE1/vhdl/T80_Pack.vhd<br />+ /z80soc/trunk/V0.7.3/DE1/vhdl/T80_Reg.vhd<br />+ /z80soc/trunk/V0.7.3/DE1/vhdl/T80_RegX.vhd<br />+ /z80soc/trunk/V0.7.3/DE1/vhdl/T8080se.vhd<br />+ /z80soc/trunk/V0.7.3/DE1/vhdl/T16450.vhd<br />+ /z80soc/trunk/V0.7.3/DE1/vhdl/vga_sync.vhd<br />+ /z80soc/trunk/V0.7.3/DE1/vhdl/video - C¢pia.vhd<br />+ /z80soc/trunk/V0.7.3/DE1/vhdl/video.vhd<br />+ /z80soc/trunk/V0.7.3/DE1/vhdl/vram8k.vhd<br />+ /z80soc/trunk/V0.7.3/DE1/vhdl/z80soc..01.vhd<br />+ /z80soc/trunk/V0.7.3/DE1/vhdl/z80soc.vhd<br />+ /z80soc/trunk/V0.7.3/DE1/vhdl/z80soc.vhd.bak<br />+ /z80soc/trunk/V0.7.3/DE1/vhdl/z80soc_pack.vhd<br />+ /z80soc/trunk/V0.7.3/DE1/vhdl/z80soc_pack.vhd.bak<br />+ /z80soc/trunk/V0.7.3/DE1/z80soc.asm.rpt<br />+ /z80soc/trunk/V0.7.3/DE1/z80soc.cdf<br />+ /z80soc/trunk/V0.7.3/DE1/z80soc.done<br />+ /z80soc/trunk/V0.7.3/DE1/z80soc.fit.rpt<br />+ /z80soc/trunk/V0.7.3/DE1/z80soc.fit.smsg<br />+ /z80soc/trunk/V0.7.3/DE1/z80soc.fit.summary<br />+ /z80soc/trunk/V0.7.3/DE1/z80soc.flow.rpt<br />+ /z80soc/trunk/V0.7.3/DE1/z80soc.jdi<br />+ /z80soc/trunk/V0.7.3/DE1/z80soc.map.rpt<br />+ /z80soc/trunk/V0.7.3/DE1/z80soc.map.summary<br />+ /z80soc/trunk/V0.7.3/DE1/z80soc.mif_update.rpt<br />+ /z80soc/trunk/V0.7.3/DE1/z80soc.pin<br />+ /z80soc/trunk/V0.7.3/DE1/z80soc.pof<br />+ /z80soc/trunk/V0.7.3/DE1/z80soc.qpf<br />+ /z80soc/trunk/V0.7.3/DE1/z80soc.qsf<br />+ /z80soc/trunk/V0.7.3/DE1/z80soc.qws<br />+ /z80soc/trunk/V0.7.3/DE1/z80soc.sof<br />+ /z80soc/trunk/V0.7.3/DE1/z80soc.sta.rpt<br />+ /z80soc/trunk/V0.7.3/DE1/z80soc.sta.summary<br />+ /z80soc/trunk/V0.7.3/DE1/z80soc_assignment_defaults.qdf<br />+ /z80soc/trunk/V0.7.3/DE2115<br />+ /z80soc/trunk/V0.7.3/DE2115/073DE2115d.asm.rpt<br />+ /z80soc/trunk/V0.7.3/DE2115/073DE2115d.cdf<br />+ /z80soc/trunk/V0.7.3/DE2115/073DE2115d.done<br />+ /z80soc/trunk/V0.7.3/DE2115/073DE2115d.fit.rpt<br />+ /z80soc/trunk/V0.7.3/DE2115/073DE2115d.fit.smsg<br />+ /z80soc/trunk/V0.7.3/DE2115/073DE2115d.fit.summary<br />+ /z80soc/trunk/V0.7.3/DE2115/073DE2115d.flow.rpt<br />+ /z80soc/trunk/V0.7.3/DE2115/073DE2115d.jdi<br />+ /z80soc/trunk/V0.7.3/DE2115/073DE2115d.map.rpt<br />+ /z80soc/trunk/V0.7.3/DE2115/073DE2115d.map.summary<br />+ /z80soc/trunk/V0.7.3/DE2115/073DE2115d.mif_update.rpt<br />+ /z80soc/trunk/V0.7.3/DE2115/073DE2115d.pin<br />+ /z80soc/trunk/V0.7.3/DE2115/073DE2115d.qws<br />+ /z80soc/trunk/V0.7.3/DE2115/073DE2115d.sof<br />+ /z80soc/trunk/V0.7.3/DE2115/073DE2115d.sta.rpt<br />+ /z80soc/trunk/V0.7.3/DE2115/073DE2115d.sta.summary<br />+ /z80soc/trunk/V0.7.3/DE2115/073DE2115e.asm.rpt<br />+ /z80soc/trunk/V0.7.3/DE2115/073DE2115e.cdf<br />+ /z80soc/trunk/V0.7.3/DE2115/073DE2115e.done<br />+ /z80soc/trunk/V0.7.3/DE2115/073DE2115e.fit.rpt<br />+ /z80soc/trunk/V0.7.3/DE2115/073DE2115e.fit.smsg<br />+ /z80soc/trunk/V0.7.3/DE2115/073DE2115e.fit.summary<br />+ /z80soc/trunk/V0.7.3/DE2115/073DE2115e.flow.rpt<br />+ /z80soc/trunk/V0.7.3/DE2115/073DE2115e.jdi<br />+ /z80soc/trunk/V0.7.3/DE2115/073DE2115e.map.rpt<br />+ /z80soc/trunk/V0.7.3/DE2115/073DE2115e.map.summary<br />+ /z80soc/trunk/V0.7.3/DE2115/073DE2115e.mif_update.rpt<br />+ /z80soc/trunk/V0.7.3/DE2115/073DE2115e.pin<br />+ /z80soc/trunk/V0.7.3/DE2115/073DE2115e.qsf<br />+ /z80soc/trunk/V0.7.3/DE2115/073DE2115e.qws<br />+ /z80soc/trunk/V0.7.3/DE2115/073DE2115e.sof<br />+ /z80soc/trunk/V0.7.3/DE2115/073DE2115e.sta.rpt<br />+ /z80soc/trunk/V0.7.3/DE2115/073DE2115e.sta.summary<br />+ /z80soc/trunk/V0.7.3/DE2115/073DE2115e_description.txt<br />+ /z80soc/trunk/V0.7.3/DE2115/db<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115d.(0).cnf.cdb<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115d.(0).cnf.hdb<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115d.(1).cnf.cdb<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115d.(1).cnf.hdb<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115d.(2).cnf.cdb<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115d.(2).cnf.hdb<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115d.(3).cnf.cdb<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115d.(3).cnf.hdb<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115d.(4).cnf.cdb<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115d.(4).cnf.hdb<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115d.(5).cnf.cdb<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115d.(5).cnf.hdb<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115d.(6).cnf.cdb<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115d.(6).cnf.hdb<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115d.(7).cnf.cdb<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115d.(7).cnf.hdb<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115d.(8).cnf.cdb<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115d.(8).cnf.hdb<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115d.(9).cnf.cdb<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115d.(9).cnf.hdb<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115d.(10).cnf.cdb<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115d.(10).cnf.hdb<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115d.(11).cnf.cdb<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115d.(11).cnf.hdb<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115d.(12).cnf.cdb<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115d.(12).cnf.hdb<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115d.(13).cnf.cdb<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115d.(13).cnf.hdb<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115d.(14).cnf.cdb<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115d.(14).cnf.hdb<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115d.(15).cnf.cdb<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115d.(15).cnf.hdb<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115d.(16).cnf.cdb<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115d.(16).cnf.hdb<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115d.(17).cnf.cdb<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115d.(17).cnf.hdb<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115d.(18).cnf.cdb<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115d.(18).cnf.hdb<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115d.(19).cnf.cdb<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115d.(19).cnf.hdb<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115d.(20).cnf.cdb<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115d.(20).cnf.hdb<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115d.(21).cnf.cdb<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115d.(21).cnf.hdb<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115d.(22).cnf.cdb<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115d.(22).cnf.hdb<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115d.(23).cnf.cdb<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115d.(23).cnf.hdb<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115d.(24).cnf.cdb<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115d.(24).cnf.hdb<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115d.ace_cmp.bpm<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115d.ace_cmp.cdb<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115d.ace_cmp.hdb<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115d.asm.qmsg<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115d.asm.rdb<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115d.asm_labs.ddb<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115d.cbx.xml<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115d.cmp.bpm<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115d.cmp.cdb<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115d.cmp.hdb<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115d.cmp.idb<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115d.cmp.kpt<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115d.cmp.logdb<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115d.cmp.rdb<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115d.cmp_merge.kpt<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115d.cycloneive_io_sim_cache.45um_ff_1200mv_0c_fast.hsd<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115d.cycloneive_io_sim_cache.45um_ii_1200mv_0c_slow.hsd<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115d.cycloneive_io_sim_cache.45um_ii_1200mv_85c_slow.hsd<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115d.db_info<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115d.eco.cdb<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115d.fit.qmsg<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115d.hier_info<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115d.hif<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115d.ipinfo<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115d.lpc.html<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115d.lpc.rdb<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115d.lpc.txt<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115d.map.ammdb<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115d.map.bpm<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115d.map.cdb<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115d.map.hdb<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115d.map.kpt<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115d.map.qmsg<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115d.map.rdb<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115d.map_bb.cdb<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115d.map_bb.hdb<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115d.mif_update.qmsg<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115d.pre_map.hdb<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115d.pti_db_list.ddb<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115d.root_partition.map.reg_db.cdb<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115d.routing.rdb<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115d.rpp.qmsg<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115d.rtlv.hdb<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115d.rtlv_sg.cdb<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115d.rtlv_sg_swap.cdb<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115d.sgate.rvd<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115d.sgate_sm.rvd<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115d.sgdiff.cdb<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115d.sgdiff.hdb<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115d.sld_design_entry.sci<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115d.sld_design_entry_dsc.sci<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115d.smart_action.txt<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115d.sta.qmsg<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115d.sta.rdb<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115d.sta_cmp.7_slow_1200mv_85c.tdb<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115d.syn_hier_info<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115d.tiscmp.fast_1200mv_0c.ddb<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115d.tiscmp.slow_1200mv_0c.ddb<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115d.tiscmp.slow_1200mv_85c.ddb<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115d.tis_db_list.ddb<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115d.tmw_info<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115d.vpr.ammdb<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115e.(0).cnf.cdb<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115e.(0).cnf.hdb<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115e.(1).cnf.cdb<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115e.(1).cnf.hdb<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115e.(2).cnf.cdb<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115e.(2).cnf.hdb<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115e.(3).cnf.cdb<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115e.(3).cnf.hdb<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115e.(4).cnf.cdb<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115e.(4).cnf.hdb<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115e.(5).cnf.cdb<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115e.(5).cnf.hdb<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115e.(6).cnf.cdb<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115e.(6).cnf.hdb<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115e.(7).cnf.cdb<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115e.(7).cnf.hdb<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115e.(8).cnf.cdb<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115e.(8).cnf.hdb<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115e.(9).cnf.cdb<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115e.(9).cnf.hdb<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115e.(10).cnf.cdb<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115e.(10).cnf.hdb<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115e.(11).cnf.cdb<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115e.(11).cnf.hdb<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115e.(12).cnf.cdb<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115e.(12).cnf.hdb<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115e.(13).cnf.cdb<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115e.(13).cnf.hdb<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115e.(14).cnf.cdb<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115e.(14).cnf.hdb<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115e.(15).cnf.cdb<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115e.(15).cnf.hdb<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115e.(16).cnf.cdb<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115e.(16).cnf.hdb<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115e.(17).cnf.cdb<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115e.(17).cnf.hdb<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115e.(18).cnf.cdb<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115e.(18).cnf.hdb<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115e.(19).cnf.cdb<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115e.(19).cnf.hdb<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115e.(20).cnf.cdb<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115e.(20).cnf.hdb<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115e.(21).cnf.cdb<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115e.(21).cnf.hdb<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115e.(22).cnf.cdb<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115e.(22).cnf.hdb<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115e.(23).cnf.cdb<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115e.(23).cnf.hdb<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115e.ace_cmp.bpm<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115e.ace_cmp.cdb<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115e.ace_cmp.hdb<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115e.asm.qmsg<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115e.asm.rdb<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115e.asm_labs.ddb<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115e.cbx.xml<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115e.cmp.bpm<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115e.cmp.cdb<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115e.cmp.hdb<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115e.cmp.idb<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115e.cmp.kpt<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115e.cmp.logdb<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115e.cmp.rdb<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115e.cmp_merge.kpt<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115e.cycloneive_io_sim_cache.45um_ff_1200mv_0c_fast.hsd<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115e.cycloneive_io_sim_cache.45um_ii_1200mv_0c_slow.hsd<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115e.cycloneive_io_sim_cache.45um_ii_1200mv_85c_slow.hsd<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115e.db_info<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115e.eco.cdb<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115e.fit.qmsg<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115e.hier_info<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115e.hif<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115e.ipinfo<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115e.lpc.html<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115e.lpc.rdb<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115e.lpc.txt<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115e.map.ammdb<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115e.map.bpm<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115e.map.cdb<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115e.map.hdb<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115e.map.kpt<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115e.map.qmsg<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115e.map.rdb<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115e.map_bb.cdb<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115e.map_bb.hdb<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115e.mif_update.qmsg<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115e.pre_map.hdb<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115e.pti_db_list.ddb<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115e.root_partition.map.reg_db.cdb<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115e.routing.rdb<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115e.rpp.qmsg<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115e.rtlv.hdb<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115e.rtlv_sg.cdb<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115e.rtlv_sg_swap.cdb<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115e.sgate.rvd<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115e.sgate_sm.rvd<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115e.sgdiff.cdb<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115e.sgdiff.hdb<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115e.sld_design_entry.sci<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115e.sld_design_entry_dsc.sci<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115e.smart_action.txt<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115e.sta.qmsg<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115e.sta.rdb<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115e.sta_cmp.7_slow_1200mv_85c.tdb<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115e.syn_hier_info<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115e.tiscmp.fast_1200mv_0c.ddb<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115e.tiscmp.slow_1200mv_0c.ddb<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115e.tiscmp.slow_1200mv_85c.ddb<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115e.tis_db_list.ddb<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115e.tmw_info<br />+ /z80soc/trunk/V0.7.3/DE2115/db/073DE2115e.vpr.ammdb<br />+ /z80soc/trunk/V0.7.3/DE2115/db/altsyncram_1v91.tdf<br />+ /z80soc/trunk/V0.7.3/DE2115/db/altsyncram_9pn1.tdf<br />+ /z80soc/trunk/V0.7.3/DE2115/db/altsyncram_eda1.tdf<br />+ /z80soc/trunk/V0.7.3/DE2115/db/altsyncram_f0a1.tdf<br />+ /z80soc/trunk/V0.7.3/DE2115/db/altsyncram_l4o1.tdf<br />+ /z80soc/trunk/V0.7.3/DE2115/db/altsyncram_oal1.tdf<br />+ /z80soc/trunk/V0.7.3/DE2115/db/decode_c8a.tdf<br />+ /z80soc/trunk/V0.7.3/DE2115/db/logic_util_heursitic.dat<br />+ /z80soc/trunk/V0.7.3/DE2115/db/mux_3nb.tdf<br />+ /z80soc/trunk/V0.7.3/DE2115/db/prev_cmp_z80soc.qmsg<br />+ /z80soc/trunk/V0.7.3/DE2115/db/z80soc.quiproj.3188.rdr.flock<br />+ /z80soc/trunk/V0.7.3/DE2115/greybox_tmp<br />+ /z80soc/trunk/V0.7.3/DE2115/greybox_tmp/cbx_args.txt<br />+ /z80soc/trunk/V0.7.3/DE2115/incremental_db<br />+ /z80soc/trunk/V0.7.3/DE2115/incremental_db/compiled_partitions<br />+ /z80soc/trunk/V0.7.3/DE2115/incremental_db/compiled_partitions/073DE2115d.db_info<br />+ /z80soc/trunk/V0.7.3/DE2115/incremental_db/compiled_partitions/073DE2115d.root_partition.cmp.ammdb<br />+ /z80soc/trunk/V0.7.3/DE2115/incremental_db/compiled_partitions/073DE2115d.root_partition.cmp.cdb<br />+ /z80soc/trunk/V0.7.3/DE2115/incremental_db/compiled_partitions/073DE2115d.root_partition.cmp.dfp<br />+ /z80soc/trunk/V0.7.3/DE2115/incremental_db/compiled_partitions/073DE2115d.root_partition.cmp.hdb<br />+ /z80soc/trunk/V0.7.3/DE2115/incremental_db/compiled_partitions/073DE2115d.root_partition.cmp.kpt<br />+ /z80soc/trunk/V0.7.3/DE2115/incremental_db/compiled_partitions/073DE2115d.root_partition.cmp.logdb<br />+ /z80soc/trunk/V0.7.3/DE2115/incremental_db/compiled_partitions/073DE2115d.root_partition.cmp.rcfdb<br />+ /z80soc/trunk/V0.7.3/DE2115/incremental_db/compiled_partitions/073DE2115d.root_partition.map.cdb<br />+ /z80soc/trunk/V0.7.3/DE2115/incremental_db/compiled_partitions/073DE2115d.root_partition.map.dpi<br />+ /z80soc/trunk/V0.7.3/DE2115/incremental_db/compiled_partitions/073DE2115d.root_partition.map.hbdb.cdb<br />+ /z80soc/trunk/V0.7.3/DE2115/incremental_db/compiled_partitions/073DE2115d.root_partition.map.hbdb.hb_info<br />+ /z80soc/trunk/V0.7.3/DE2115/incremental_db/compiled_partitions/073DE2115d.root_partition.map.hbdb.hdb<br />+ /z80soc/trunk/V0.7.3/DE2115/incremental_db/compiled_partitions/073DE2115d.root_partition.map.hbdb.sig<br />+ /z80soc/trunk/V0.7.3/DE2115/incremental_db/compiled_partitions/073DE2115d.root_partition.map.hdb<br />+ /z80soc/trunk/V0.7.3/DE2115/incremental_db/compiled_partitions/073DE2115d.root_partition.map.kpt<br />+ /z80soc/trunk/V0.7.3/DE2115/incremental_db/compiled_partitions/073DE2115e.db_info<br />+ /z80soc/trunk/V0.7.3/DE2115/incremental_db/compiled_partitions/073DE2115e.root_partition.cmp.ammdb<br />+ /z80soc/trunk/V0.7.3/DE2115/incremental_db/compiled_partitions/073DE2115e.root_partition.cmp.cdb<br />+ /z80soc/trunk/V0.7.3/DE2115/incremental_db/compiled_partitions/073DE2115e.root_partition.cmp.dfp<br />+ /z80soc/trunk/V0.7.3/DE2115/incremental_db/compiled_partitions/073DE2115e.root_partition.cmp.hdb<br />+ /z80soc/trunk/V0.7.3/DE2115/incremental_db/compiled_partitions/073DE2115e.root_partition.cmp.kpt<br />+ /z80soc/trunk/V0.7.3/DE2115/incremental_db/compiled_partitions/073DE2115e.root_partition.cmp.logdb<br />+ /z80soc/trunk/V0.7.3/DE2115/incremental_db/compiled_partitions/073DE2115e.root_partition.cmp.rcfdb<br />+ /z80soc/trunk/V0.7.3/DE2115/incremental_db/compiled_partitions/073DE2115e.root_partition.map.cdb<br />+ /z80soc/trunk/V0.7.3/DE2115/incremental_db/compiled_partitions/073DE2115e.root_partition.map.dpi<br />+ /z80soc/trunk/V0.7.3/DE2115/incremental_db/compiled_partitions/073DE2115e.root_partition.map.hbdb.cdb<br />+ /z80soc/trunk/V0.7.3/DE2115/incremental_db/compiled_partitions/073DE2115e.root_partition.map.hbdb.hb_info<br />+ /z80soc/trunk/V0.7.3/DE2115/incremental_db/compiled_partitions/073DE2115e.root_partition.map.hbdb.hdb<br />+ /z80soc/trunk/V0.7.3/DE2115/incremental_db/compiled_partitions/073DE2115e.root_partition.map.hbdb.sig<br />+ /z80soc/trunk/V0.7.3/DE2115/incremental_db/compiled_partitions/073DE2115e.root_partition.map.hdb<br />+ /z80soc/trunk/V0.7.3/DE2115/incremental_db/compiled_partitions/073DE2115e.root_partition.map.kpt<br />+ /z80soc/trunk/V0.7.3/DE2115/incremental_db/README<br />+ /z80soc/trunk/V0.7.3/DE2115/memoryCores<br />+ /z80soc/trunk/V0.7.3/DE2115/memoryCores/charram.vhd<br />+ /z80soc/trunk/V0.7.3/DE2115/memoryCores/charram2k.vhd.bak<br />+ /z80soc/trunk/V0.7.3/DE2115/memoryCores/rom.qip<br />+ /z80soc/trunk/V0.7.3/DE2115/memoryCores/rom.vhd<br />+ /z80soc/trunk/V0.7.3/DE2115/memoryCores/rom.vhd.bak<br />+ /z80soc/trunk/V0.7.3/DE2115/memoryCores/vram.vhd<br />+ /z80soc/trunk/V0.7.3/DE2115/memoryCores/vram.vhd.bak<br />+ /z80soc/trunk/V0.7.3/DE2115/PLLJ_PLLSPE_INFO.txt<br />+ /z80soc/trunk/V0.7.3/DE2115/rom.qip<br />+ /z80soc/trunk/V0.7.3/DE2115/ROMdata<br />+ /z80soc/trunk/V0.7.3/DE2115/ROMdata/lat9-08.mif<br />+ /z80soc/trunk/V0.7.3/DE2115/ROMdata/rom.hex<br />+ /z80soc/trunk/V0.7.3/DE2115/vhdl<br />+ /z80soc/trunk/V0.7.3/DE2115/vhdl/char_rom.VHD<br />+ /z80soc/trunk/V0.7.3/DE2115/vhdl/clk_div.vhd<br />+ /z80soc/trunk/V0.7.3/DE2115/vhdl/clk_div.vhd.bak<br />+ /z80soc/trunk/V0.7.3/DE2115/vhdl/DebugSystem.vhd<br />+ /z80soc/trunk/V0.7.3/DE2115/vhdl/DebugSystemXR.vhd<br />+ /z80soc/trunk/V0.7.3/DE2115/vhdl/decoder_7seg.vhd<br />+ /z80soc/trunk/V0.7.3/DE2115/vhdl/keyboard.VHD<br />+ /z80soc/trunk/V0.7.3/DE2115/vhdl/lcd.vhd<br />+ /z80soc/trunk/V0.7.3/DE2115/vhdl/lcd.vhd.bak<br />+ /z80soc/trunk/V0.7.3/DE2115/vhdl/PLL_Clocks.cmp<br />+ /z80soc/trunk/V0.7.3/DE2115/vhdl/PLL_Clocks.ppf<br />+ /z80soc/trunk/V0.7.3/DE2115/vhdl/PLL_Clocks.qip<br />+ /z80soc/trunk/V0.7.3/DE2115/vhdl/PLL_Clocks.vhd<br />+ /z80soc/trunk/V0.7.3/DE2115/vhdl/PLL_Clocks_inst.vhd<br />+ /z80soc/trunk/V0.7.3/DE2115/vhdl/ps2bkd.vhd<br />+ /z80soc/trunk/V0.7.3/DE2115/vhdl/SSRAM.vhd<br />+ /z80soc/trunk/V0.7.3/DE2115/vhdl/SSRAM2.vhd<br />+ /z80soc/trunk/V0.7.3/DE2115/vhdl/SSRAMX.vhd<br />+ /z80soc/trunk/V0.7.3/DE2115/vhdl/T80.vhd<br />+ /z80soc/trunk/V0.7.3/DE2115/vhdl/T80a.vhd<br />+ /z80soc/trunk/V0.7.3/DE2115/vhdl/T80s.vhd<br />+ /z80soc/trunk/V0.7.3/DE2115/vhdl/T80se.vhd<br />+ /z80soc/trunk/V0.7.3/DE2115/vhdl/T80sed.vhd<br />+ /z80soc/trunk/V0.7.3/DE2115/vhdl/T80_ALU.vhd<br />+ /z80soc/trunk/V0.7.3/DE2115/vhdl/T80_MCode.vhd<br />+ /z80soc/trunk/V0.7.3/DE2115/vhdl/T80_Pack.vhd<br />+ /z80soc/trunk/V0.7.3/DE2115/vhdl/T80_Reg.vhd<br />+ /z80soc/trunk/V0.7.3/DE2115/vhdl/T80_RegX.vhd<br />+ /z80soc/trunk/V0.7.3/DE2115/vhdl/T8080se.vhd<br />+ /z80soc/trunk/V0.7.3/DE2115/vhdl/T16450.vhd<br />+ /z80soc/trunk/V0.7.3/DE2115/vhdl/vga_sync.vhd<br />+ /z80soc/trunk/V0.7.3/DE2115/vhdl/video - C¢pia.vhd<br />+ /z80soc/trunk/V0.7.3/DE2115/vhdl/video.vhd<br />+ /z80soc/trunk/V0.7.3/DE2115/vhdl/vram8k.vhd<br />+ /z80soc/trunk/V0.7.3/DE2115/vhdl/z80soc..01.vhd<br />+ /z80soc/trunk/V0.7.3/DE2115/vhdl/z80soc.vhd<br />+ /z80soc/trunk/V0.7.3/DE2115/vhdl/z80soc.vhd.bak<br />+ /z80soc/trunk/V0.7.3/DE2115/vhdl/z80soc_pack.vhd<br />+ /z80soc/trunk/V0.7.3/DE2115/vhdl/z80soc_pack.vhd.bak<br />+ /z80soc/trunk/V0.7.3/DE2115/z80soc.qpf<br />+ /z80soc/trunk/V0.7.3/ROMdata<br />+ /z80soc/trunk/V0.7.3/ROMdata/char.coe<br />+ /z80soc/trunk/V0.7.3/ROMdata/charram2k.coe<br />+ /z80soc/trunk/V0.7.3/ROMdata/charram2k.mif<br />+ /z80soc/trunk/V0.7.3/ROMdata/drdos8x8.txt<br />+ /z80soc/trunk/V0.7.3/ROMdata/lat9-08.mif<br />+ /z80soc/trunk/V0.7.3/ROMdata/lat9-08.txt<br />+ /z80soc/trunk/V0.7.3/ROMdata/rom.coe<br />+ /z80soc/trunk/V0.7.3/ROMdata/rom.hex<br />+ /z80soc/trunk/V0.7.3/ROMdata/rom.mif<br />+ /z80soc/trunk/V0.7.3/ROMdata/romvhd.vhd<br />+ /z80soc/trunk/V0.7.3/Software<br />+ /z80soc/trunk/V0.7.3/Software/C<br />+ /z80soc/trunk/V0.7.3/Software/C/bin<br />+ /z80soc/trunk/V0.7.3/Software/C/bin/build.sh<br />+ /z80soc/trunk/V0.7.3/Software/C/bin/hex2mif.sh<br />+ /z80soc/trunk/V0.7.3/Software/C/bin/hex2romvhdl.sh<br />+ /z80soc/trunk/V0.7.3/Software/C/bin/mif2coe.sh<br />+ /z80soc/trunk/V0.7.3/Software/C/include<br />+ /z80soc/trunk/V0.7.3/Software/C/include/crt0.rel<br />+ /z80soc/trunk/V0.7.3/Software/C/include/de2115.h<br />+ /z80soc/trunk/V0.7.3/Software/C/include/game_demo.h<br />+ /z80soc/trunk/V0.7.3/Software/C/include/o3s.h<br />+ /z80soc/trunk/V0.7.3/Software/C/include/s3e.h<br />+ /z80soc/trunk/V0.7.3/Software/C/include/z80soc.h<br />+ /z80soc/trunk/V0.7.3/Software/C/Makefile<br />+ /z80soc/trunk/V0.7.3/Software/C/README<br />+ /z80soc/trunk/V0.7.3/Software/C/testsys<br />+ /z80soc/trunk/V0.7.3/Software/C/testsys/crt0 copy.s<br />+ /z80soc/trunk/V0.7.3/Software/C/testsys/crt0.s<br />+ /z80soc/trunk/V0.7.3/Software/C/testsys/de2115.c<br />+ /z80soc/trunk/V0.7.3/Software/C/testsys/Makefile<br />+ /z80soc/trunk/V0.7.3/Software/C/testsys/o3s.c<br />+ /z80soc/trunk/V0.7.3/Software/C/testsys/s3e.c<br />+ /z80soc/trunk/V0.7.3/Software/C/testsys/testsys.c<br />+ /z80soc/trunk/V0.7.3/Software/C/testsys/testsys.c.morecode<br />+ /z80soc/trunk/V0.7.3/Software/C/testsys/testsys copy.c<br />+ /z80soc/trunk/V0.7.3/Software/C/testsys/testsyso3s.c<br />+ /z80soc/trunk/V0.7.3/Software/C/testsys/z80soc.c<br /> rrred Fri, 24 Jun 2016 18:40:51 +0100 https://opencores.org/websvn//websvn/revision?repname=z80soc&path=%2F&rev=46 Type fixes https://opencores.org/websvn//websvn/revision?repname=z80soc&path=%2F&rev=45 <div><strong>Rev 45 - rrred</strong> (1 file(s) modified)</div><div>Type fixes</div>~ /z80soc/trunk/V0.7.2/doc/RevisionHistory.txt<br /> rrred Sat, 16 Apr 2016 12:26:56 +0100 https://opencores.org/websvn//websvn/revision?repname=z80soc&path=%2F&rev=45 Version for DE2 only - old from 2010 https://opencores.org/websvn//websvn/revision?repname=z80soc&path=%2F&rev=44 <div><strong>Rev 44 - rrred</strong> (93 file(s) modified)</div><div>Version for DE2 only - old from 2010</div>+ /z80soc/trunk/V0.7.2<br />+ /z80soc/trunk/V0.7.2/DE1<br />+ /z80soc/trunk/V0.7.2/DE1/memoryCores<br />+ /z80soc/trunk/V0.7.2/DE1/memoryCores/charram2k.bsf<br />+ /z80soc/trunk/V0.7.2/DE1/memoryCores/charram2k.cmp<br />+ /z80soc/trunk/V0.7.2/DE1/memoryCores/charram2k.qip<br />+ /z80soc/trunk/V0.7.2/DE1/memoryCores/charram2k.vhd<br />+ /z80soc/trunk/V0.7.2/DE1/memoryCores/charram2k.vhd.bak<br />+ /z80soc/trunk/V0.7.2/DE1/memoryCores/charram2k_inst.vhd<br />+ /z80soc/trunk/V0.7.2/DE1/memoryCores/charram2k_wave0.jpg<br />+ /z80soc/trunk/V0.7.2/DE1/memoryCores/charram2k_waveforms.html<br />+ /z80soc/trunk/V0.7.2/DE1/memoryCores/rom.bsf<br />+ /z80soc/trunk/V0.7.2/DE1/memoryCores/rom.cmp<br />+ /z80soc/trunk/V0.7.2/DE1/memoryCores/rom.hex<br />+ /z80soc/trunk/V0.7.2/DE1/memoryCores/rom.mif<br />+ /z80soc/trunk/V0.7.2/DE1/memoryCores/rom.mif.bak<br />+ /z80soc/trunk/V0.7.2/DE1/memoryCores/rom.mif_<br />+ /z80soc/trunk/V0.7.2/DE1/memoryCores/rom.qip<br />+ /z80soc/trunk/V0.7.2/DE1/memoryCores/rom.vhd<br />+ /z80soc/trunk/V0.7.2/DE1/memoryCores/rom.vhd.bak<br />+ /z80soc/trunk/V0.7.2/DE1/memoryCores/rom.vhd_conv<br />+ /z80soc/trunk/V0.7.2/DE1/memoryCores/rom_inst.vhd<br />+ /z80soc/trunk/V0.7.2/DE1/memoryCores/rom_wave0.jpg<br />+ /z80soc/trunk/V0.7.2/DE1/memoryCores/rom_wave1.jpg<br />+ /z80soc/trunk/V0.7.2/DE1/memoryCores/rom_waveforms.html<br />+ /z80soc/trunk/V0.7.2/DE1/memoryCores/vram3200x8.bsf<br />+ /z80soc/trunk/V0.7.2/DE1/memoryCores/vram3200x8.cmp<br />+ /z80soc/trunk/V0.7.2/DE1/memoryCores/vram3200x8.qip<br />+ /z80soc/trunk/V0.7.2/DE1/memoryCores/vram3200x8.vhd<br />+ /z80soc/trunk/V0.7.2/DE1/memoryCores/vram3200x8_inst.vhd<br />+ /z80soc/trunk/V0.7.2/DE1/memoryCores/vram3200x8_wave0.jpg<br />+ /z80soc/trunk/V0.7.2/DE1/memoryCores/vram3200x8_waveforms.html<br />+ /z80soc/trunk/V0.7.2/DE1/vhdl<br />+ /z80soc/trunk/V0.7.2/DE1/vhdl/char_rom.VHD<br />+ /z80soc/trunk/V0.7.2/DE1/vhdl/char_rom.VHD.bak<br />+ /z80soc/trunk/V0.7.2/DE1/vhdl/clk_div.vhd<br />+ /z80soc/trunk/V0.7.2/DE1/vhdl/clk_div.vhd.bak<br />+ /z80soc/trunk/V0.7.2/DE1/vhdl/clock_357mhz.vhd<br />+ /z80soc/trunk/V0.7.2/DE1/vhdl/clock_357mhz.vhd.bak<br />+ /z80soc/trunk/V0.7.2/DE1/vhdl/DebugSystem.vhd<br />+ /z80soc/trunk/V0.7.2/DE1/vhdl/DebugSystemXR.vhd<br />+ /z80soc/trunk/V0.7.2/DE1/vhdl/decoder_7seg.vhd<br />+ /z80soc/trunk/V0.7.2/DE1/vhdl/decoder_7seg.vhd.bak<br />+ /z80soc/trunk/V0.7.2/DE1/vhdl/keyboard.VHD<br />+ /z80soc/trunk/V0.7.2/DE1/vhdl/keyboard.VHD.bak<br />+ /z80soc/trunk/V0.7.2/DE1/vhdl/ps2bkd.vhd<br />+ /z80soc/trunk/V0.7.2/DE1/vhdl/ps2bkd.vhd.bak<br />+ /z80soc/trunk/V0.7.2/DE1/vhdl/SSRAM.vhd<br />+ /z80soc/trunk/V0.7.2/DE1/vhdl/SSRAM2.vhd<br />+ /z80soc/trunk/V0.7.2/DE1/vhdl/SSRAMX.vhd<br />+ /z80soc/trunk/V0.7.2/DE1/vhdl/T80.vhd<br />+ /z80soc/trunk/V0.7.2/DE1/vhdl/T80a.vhd<br />+ /z80soc/trunk/V0.7.2/DE1/vhdl/T80s.vhd<br />+ /z80soc/trunk/V0.7.2/DE1/vhdl/T80se.vhd<br />+ /z80soc/trunk/V0.7.2/DE1/vhdl/T80sed.vhd<br />+ /z80soc/trunk/V0.7.2/DE1/vhdl/T80_ALU.vhd<br />+ /z80soc/trunk/V0.7.2/DE1/vhdl/T80_MCode.vhd<br />+ /z80soc/trunk/V0.7.2/DE1/vhdl/T80_Pack.vhd<br />+ /z80soc/trunk/V0.7.2/DE1/vhdl/T80_Reg.vhd<br />+ /z80soc/trunk/V0.7.2/DE1/vhdl/T80_RegX.vhd<br />+ /z80soc/trunk/V0.7.2/DE1/vhdl/T8080se.vhd<br />+ /z80soc/trunk/V0.7.2/DE1/vhdl/T16450.vhd<br />+ /z80soc/trunk/V0.7.2/DE1/vhdl/top_de1.vhd<br />+ /z80soc/trunk/V0.7.2/DE1/vhdl/top_de1.vhd.bak<br />+ /z80soc/trunk/V0.7.2/DE1/vhdl/vga_sync.vhd<br />+ /z80soc/trunk/V0.7.2/DE1/vhdl/vga_sync.vhd.bak<br />+ /z80soc/trunk/V0.7.2/DE1/vhdl/video - C¢pia.vhd<br />+ /z80soc/trunk/V0.7.2/DE1/vhdl/video.vhd<br />+ /z80soc/trunk/V0.7.2/DE1/vhdl/video.vhd.bak<br />+ /z80soc/trunk/V0.7.2/DE1/vhdl/video_PLL.vhd<br />+ /z80soc/trunk/V0.7.2/DE1/vhdl/vram8k.vhd<br />+ /z80soc/trunk/V0.7.2/DE1/vhdl/z80soc_pack.vhd<br />+ /z80soc/trunk/V0.7.2/DE1/z80soc.pof<br />+ /z80soc/trunk/V0.7.2/DE1/z80soc.qpf<br />+ /z80soc/trunk/V0.7.2/DE1/z80soc.qsf<br />+ /z80soc/trunk/V0.7.2/DE1/z80soc.sof<br />+ /z80soc/trunk/V0.7.2/DE1/z80soc_assignment_defaults.qdf<br />+ /z80soc/trunk/V0.7.2/DE1/z80soc_description.txt<br />+ /z80soc/trunk/V0.7.2/doc<br />+ /z80soc/trunk/V0.7.2/doc/CVS<br />+ /z80soc/trunk/V0.7.2/doc/CVS/Entries<br />+ /z80soc/trunk/V0.7.2/doc/CVS/Entries.Extra<br />+ /z80soc/trunk/V0.7.2/doc/CVS/Entries.Extra.Old<br />+ /z80soc/trunk/V0.7.2/doc/CVS/Entries.Old<br />+ /z80soc/trunk/V0.7.2/doc/CVS/Repository<br />+ /z80soc/trunk/V0.7.2/doc/CVS/Root<br />+ /z80soc/trunk/V0.7.2/doc/CVS/Template<br />+ /z80soc/trunk/V0.7.2/doc/memoryLayout-DE1.txt<br />+ /z80soc/trunk/V0.7.2/doc/memoryLayout-S3E.txt<br />+ /z80soc/trunk/V0.7.2/doc/RAM VARIABLES.txt<br />+ /z80soc/trunk/V0.7.2/doc/README-DE1.txt<br />+ /z80soc/trunk/V0.7.2/doc/README-S3E.txt<br />+ /z80soc/trunk/V0.7.2/doc/RevisionHistory.txt<br /> rrred Sat, 16 Apr 2016 12:23:21 +0100 https://opencores.org/websvn//websvn/revision?repname=z80soc&path=%2F&rev=44 nothing changed actually https://opencores.org/websvn//websvn/revision?repname=z80soc&path=%2F&rev=43 <div><strong>Rev 43 - rrred</strong> (1 file(s) modified)</div><div>nothing changed actually</div>~ /z80soc/trunk/V0.7.1a/doc/README-DE1.txt<br /> rrred Sat, 16 Apr 2016 12:08:57 +0100 https://opencores.org/websvn//websvn/revision?repname=z80soc&path=%2F&rev=43 renamed directory https://opencores.org/websvn//websvn/revision?repname=z80soc&path=%2F&rev=42 <div><strong>Rev 42 - rrred</strong> (2 file(s) modified)</div><div>renamed directory</div>- /z80soc/trunk/V0.7.1<br />+ /z80soc/trunk/V0.7.1a<br /> rrred Tue, 23 Nov 2010 23:17:27 +0100 https://opencores.org/websvn//websvn/revision?repname=z80soc&path=%2F&rev=42 Version 0.7.1a with SDCC support https://opencores.org/websvn//websvn/revision?repname=z80soc&path=%2F&rev=41 <div><strong>Rev 41 - rrred</strong> (287 file(s) modified)</div><div>Version 0.7.1a with SDCC support</div>+ /z80soc/trunk/V0.7.1<br />+ /z80soc/trunk/V0.7.1/DE1<br />+ /z80soc/trunk/V0.7.1/DE1/memoryCores<br />+ /z80soc/trunk/V0.7.1/DE1/memoryCores/charram2k.cmp<br />+ /z80soc/trunk/V0.7.1/DE1/memoryCores/charram2k.qip<br />+ /z80soc/trunk/V0.7.1/DE1/memoryCores/charram2k.vhd<br />+ /z80soc/trunk/V0.7.1/DE1/memoryCores/charram2k.vhd.bak<br />+ /z80soc/trunk/V0.7.1/DE1/memoryCores/charram2k_inst.vhd<br />+ /z80soc/trunk/V0.7.1/DE1/memoryCores/charram2k_wave0.jpg<br />+ /z80soc/trunk/V0.7.1/DE1/memoryCores/charram2k_waveforms.html<br />+ /z80soc/trunk/V0.7.1/DE1/memoryCores/rom.bsf<br />+ /z80soc/trunk/V0.7.1/DE1/memoryCores/rom.cmp<br />+ /z80soc/trunk/V0.7.1/DE1/memoryCores/rom.hex<br />+ /z80soc/trunk/V0.7.1/DE1/memoryCores/rom.mif<br />+ /z80soc/trunk/V0.7.1/DE1/memoryCores/rom.mif.bak<br />+ /z80soc/trunk/V0.7.1/DE1/memoryCores/rom.qip<br />+ /z80soc/trunk/V0.7.1/DE1/memoryCores/rom.vhd<br />+ /z80soc/trunk/V0.7.1/DE1/memoryCores/rom.vhd.bak<br />+ /z80soc/trunk/V0.7.1/DE1/memoryCores/rom.vhd_conv<br />+ /z80soc/trunk/V0.7.1/DE1/memoryCores/rom_inst.vhd<br />+ /z80soc/trunk/V0.7.1/DE1/memoryCores/rom_wave0.jpg<br />+ /z80soc/trunk/V0.7.1/DE1/memoryCores/rom_wave1.jpg<br />+ /z80soc/trunk/V0.7.1/DE1/memoryCores/rom_waveforms.html<br />+ /z80soc/trunk/V0.7.1/DE1/memoryCores/vram3200x8.bsf<br />+ /z80soc/trunk/V0.7.1/DE1/memoryCores/vram3200x8.cmp<br />+ /z80soc/trunk/V0.7.1/DE1/memoryCores/vram3200x8.qip<br />+ /z80soc/trunk/V0.7.1/DE1/memoryCores/vram3200x8.vhd<br />+ /z80soc/trunk/V0.7.1/DE1/memoryCores/vram3200x8_inst.vhd<br />+ /z80soc/trunk/V0.7.1/DE1/memoryCores/vram3200x8_wave0.jpg<br />+ /z80soc/trunk/V0.7.1/DE1/memoryCores/vram3200x8_waveforms.html<br />+ /z80soc/trunk/V0.7.1/DE1/V07b_assignment_defaults.qdf<br />+ /z80soc/trunk/V0.7.1/DE1/vhdl<br />+ /z80soc/trunk/V0.7.1/DE1/vhdl/char_rom.VHD<br />+ /z80soc/trunk/V0.7.1/DE1/vhdl/clk_div.vhd<br />+ /z80soc/trunk/V0.7.1/DE1/vhdl/clock_357mhz.vhd<br />+ /z80soc/trunk/V0.7.1/DE1/vhdl/DebugSystem.vhd<br />+ /z80soc/trunk/V0.7.1/DE1/vhdl/DebugSystemXR.vhd<br />+ /z80soc/trunk/V0.7.1/DE1/vhdl/decoder_7seg.vhd<br />+ /z80soc/trunk/V0.7.1/DE1/vhdl/keyboard.VHD<br />+ /z80soc/trunk/V0.7.1/DE1/vhdl/ps2bkd.vhd<br />+ /z80soc/trunk/V0.7.1/DE1/vhdl/SSRAM.vhd<br />+ /z80soc/trunk/V0.7.1/DE1/vhdl/SSRAM2.vhd<br />+ /z80soc/trunk/V0.7.1/DE1/vhdl/SSRAMX.vhd<br />+ /z80soc/trunk/V0.7.1/DE1/vhdl/T80.vhd<br />+ /z80soc/trunk/V0.7.1/DE1/vhdl/T80a.vhd<br />+ /z80soc/trunk/V0.7.1/DE1/vhdl/T80s.vhd<br />+ /z80soc/trunk/V0.7.1/DE1/vhdl/T80se.vhd<br />+ /z80soc/trunk/V0.7.1/DE1/vhdl/T80sed.vhd<br />+ /z80soc/trunk/V0.7.1/DE1/vhdl/T80_ALU.vhd<br />+ /z80soc/trunk/V0.7.1/DE1/vhdl/T80_MCode.vhd<br />+ /z80soc/trunk/V0.7.1/DE1/vhdl/T80_Pack.vhd<br />+ /z80soc/trunk/V0.7.1/DE1/vhdl/T80_Reg.vhd<br />+ /z80soc/trunk/V0.7.1/DE1/vhdl/T80_RegX.vhd<br />+ /z80soc/trunk/V0.7.1/DE1/vhdl/T8080se.vhd<br />+ /z80soc/trunk/V0.7.1/DE1/vhdl/T16450.vhd<br />+ /z80soc/trunk/V0.7.1/DE1/vhdl/top_de1.vhd<br />+ /z80soc/trunk/V0.7.1/DE1/vhdl/vga_sync.vhd<br />+ /z80soc/trunk/V0.7.1/DE1/vhdl/video.vhd<br />+ /z80soc/trunk/V0.7.1/DE1/vhdl/video_PLL.vhd<br />+ /z80soc/trunk/V0.7.1/DE1/vhdl/vram8k.vhd<br />+ /z80soc/trunk/V0.7.1/DE1/vhdl/z80soc_pack.vhd<br />+ /z80soc/trunk/V0.7.1/DE1/z80soc.pin<br />+ /z80soc/trunk/V0.7.1/DE1/z80soc.pof<br />+ /z80soc/trunk/V0.7.1/DE1/z80soc.qpf<br />+ /z80soc/trunk/V0.7.1/DE1/z80soc.qsf<br />+ /z80soc/trunk/V0.7.1/DE1/z80soc.sof<br />+ /z80soc/trunk/V0.7.1/doc<br />+ /z80soc/trunk/V0.7.1/doc/memoryLayout-DE1.txt<br />+ /z80soc/trunk/V0.7.1/doc/memoryLayout-S3E.txt<br />+ /z80soc/trunk/V0.7.1/doc/RAM VARIABLES.txt<br />+ /z80soc/trunk/V0.7.1/doc/README-DE1.txt<br />+ /z80soc/trunk/V0.7.1/doc/README-S3E.txt<br />+ /z80soc/trunk/V0.7.1/doc/RevisionHistory.txt<br />+ /z80soc/trunk/V0.7.1/rom<br />+ /z80soc/trunk/V0.7.1/rom/char.coe<br />+ /z80soc/trunk/V0.7.1/rom/charrom.vhd<br />+ /z80soc/trunk/V0.7.1/rom/convrom.sh<br />+ /z80soc/trunk/V0.7.1/rom/CVS<br />+ /z80soc/trunk/V0.7.1/rom/CVS/Entries<br />+ /z80soc/trunk/V0.7.1/rom/CVS/Entries.Extra<br />+ /z80soc/trunk/V0.7.1/rom/CVS/Entries.Extra.Old<br />+ /z80soc/trunk/V0.7.1/rom/CVS/Entries.Old<br />+ /z80soc/trunk/V0.7.1/rom/CVS/Repository<br />+ /z80soc/trunk/V0.7.1/rom/CVS/Root<br />+ /z80soc/trunk/V0.7.1/rom/CVS/Template<br />+ /z80soc/trunk/V0.7.1/rom/drdos8x8.txt<br />+ /z80soc/trunk/V0.7.1/rom/hex2bin.exe<br />+ /z80soc/trunk/V0.7.1/rom/hex2rom.exe<br />+ /z80soc/trunk/V0.7.1/rom/hex2rom.sh<br />+ /z80soc/trunk/V0.7.1/rom/hex2rombin.sh<br />+ /z80soc/trunk/V0.7.1/rom/hex2romvhdl.sh<br />+ /z80soc/trunk/V0.7.1/rom/lat9-08.mif<br />+ /z80soc/trunk/V0.7.1/rom/lat9-08.txt<br />+ /z80soc/trunk/V0.7.1/rom/mif2coe.sh<br />+ /z80soc/trunk/V0.7.1/rom/psf2coe.sh<br />+ /z80soc/trunk/V0.7.1/rom/psf2coe.tmp<br />+ /z80soc/trunk/V0.7.1/rom/psf2mif.sh<br />+ /z80soc/trunk/V0.7.1/rom/psf2rom.sh<br />+ /z80soc/trunk/V0.7.1/rom/rom.coe<br />+ /z80soc/trunk/V0.7.1/rom/rom.hex<br />+ /z80soc/trunk/V0.7.1/rom/rom.vhd<br />+ /z80soc/trunk/V0.7.1/rom/rom.vhd.bak<br />+ /z80soc/trunk/V0.7.1/rom/rom_v07.vhd<br />+ /z80soc/trunk/V0.7.1/rom/rom_xlib.vhd<br />+ /z80soc/trunk/V0.7.1/rom/SoCv0.7.z8a<br />+ /z80soc/trunk/V0.7.1/rom/SoCv0.7b.z8a<br />+ /z80soc/trunk/V0.7.1/rom/soc_s3e_rom.vhd<br />+ /z80soc/trunk/V0.7.1/rom/test_rom.vhd<br />+ /z80soc/trunk/V0.7.1/rom/V0.7-test.z8a<br />+ /z80soc/trunk/V0.7.1/rom/V0.7-testb.z8a<br />+ /z80soc/trunk/V0.7.1/rom/V0.7-V40x80.z8a<br />+ /z80soc/trunk/V0.7.1/rom/xrom.exe<br />+ /z80soc/trunk/V0.7.1/rom/z80asm.exe<br />+ /z80soc/trunk/V0.7.1/rom/z80socrom.bin<br />+ /z80soc/trunk/V0.7.1/rom/z80socrom.ihx<br />+ /z80soc/trunk/V0.7.1/S3E<br />+ /z80soc/trunk/V0.7.1/S3E/memoryCores<br />+ /z80soc/trunk/V0.7.1/S3E/memoryCores/blk_mem_gen_ds512.pdf<br />+ /z80soc/trunk/V0.7.1/S3E/memoryCores/blk_mem_gen_readme.txt<br />+ /z80soc/trunk/V0.7.1/S3E/memoryCores/charram2k.asy<br />+ /z80soc/trunk/V0.7.1/S3E/memoryCores/charram2k.gise<br />+ /z80soc/trunk/V0.7.1/S3E/memoryCores/charram2k.ise<br />+ /z80soc/trunk/V0.7.1/S3E/memoryCores/charram2k.mif<br />+ /z80soc/trunk/V0.7.1/S3E/memoryCores/charram2k.ngc<br />+ /z80soc/trunk/V0.7.1/S3E/memoryCores/charram2k.vhd<br />+ /z80soc/trunk/V0.7.1/S3E/memoryCores/charram2k.vho<br />+ /z80soc/trunk/V0.7.1/S3E/memoryCores/charram2k.xco<br />+ /z80soc/trunk/V0.7.1/S3E/memoryCores/charram2k.xise<br />+ /z80soc/trunk/V0.7.1/S3E/memoryCores/charram2k_flist.txt<br />+ /z80soc/trunk/V0.7.1/S3E/memoryCores/charram2k_readme.txt<br />+ /z80soc/trunk/V0.7.1/S3E/memoryCores/charram2k_xdb<br />+ /z80soc/trunk/V0.7.1/S3E/memoryCores/charram2k_xdb/tmp<br />+ /z80soc/trunk/V0.7.1/S3E/memoryCores/charram2k_xmdf.tcl<br />+ /z80soc/trunk/V0.7.1/S3E/memoryCores/lcdvram.asy<br />+ /z80soc/trunk/V0.7.1/S3E/memoryCores/lcdvram.gise<br />+ /z80soc/trunk/V0.7.1/S3E/memoryCores/lcdvram.ise<br />+ /z80soc/trunk/V0.7.1/S3E/memoryCores/lcdvram.ngc<br />+ /z80soc/trunk/V0.7.1/S3E/memoryCores/lcdvram.vhd<br />+ /z80soc/trunk/V0.7.1/S3E/memoryCores/lcdvram.vho<br />+ /z80soc/trunk/V0.7.1/S3E/memoryCores/lcdvram.xco<br />+ /z80soc/trunk/V0.7.1/S3E/memoryCores/lcdvram.xise<br />+ /z80soc/trunk/V0.7.1/S3E/memoryCores/lcdvram_flist.txt<br />+ /z80soc/trunk/V0.7.1/S3E/memoryCores/lcdvram_readme.txt<br />+ /z80soc/trunk/V0.7.1/S3E/memoryCores/lcdvram_xdb<br />+ /z80soc/trunk/V0.7.1/S3E/memoryCores/lcdvram_xdb/tmp<br />+ /z80soc/trunk/V0.7.1/S3E/memoryCores/lcdvram_xmdf.tcl<br />+ /z80soc/trunk/V0.7.1/S3E/memoryCores/ram.gise<br />+ /z80soc/trunk/V0.7.1/S3E/memoryCores/ram.ngc<br />+ /z80soc/trunk/V0.7.1/S3E/memoryCores/ram.vhd<br />+ /z80soc/trunk/V0.7.1/S3E/memoryCores/ram.vho<br />+ /z80soc/trunk/V0.7.1/S3E/memoryCores/ram.xco<br />+ /z80soc/trunk/V0.7.1/S3E/memoryCores/ram.xise<br />+ /z80soc/trunk/V0.7.1/S3E/memoryCores/ram8k.gise<br />+ /z80soc/trunk/V0.7.1/S3E/memoryCores/ram8k.ngc<br />+ /z80soc/trunk/V0.7.1/S3E/memoryCores/ram8k.vhd<br />+ /z80soc/trunk/V0.7.1/S3E/memoryCores/ram8k.vho<br />+ /z80soc/trunk/V0.7.1/S3E/memoryCores/ram8k.xco<br />+ /z80soc/trunk/V0.7.1/S3E/memoryCores/ram8k.xise<br />+ /z80soc/trunk/V0.7.1/S3E/memoryCores/ram8k_flist.txt<br />+ /z80soc/trunk/V0.7.1/S3E/memoryCores/ram8k_xmdf.tcl<br />+ /z80soc/trunk/V0.7.1/S3E/memoryCores/ram24k.gise<br />+ /z80soc/trunk/V0.7.1/S3E/memoryCores/ram24k.ngc<br />+ /z80soc/trunk/V0.7.1/S3E/memoryCores/ram24k.vhd<br />+ /z80soc/trunk/V0.7.1/S3E/memoryCores/ram24k.vho<br />+ /z80soc/trunk/V0.7.1/S3E/memoryCores/ram24k.xco<br />+ /z80soc/trunk/V0.7.1/S3E/memoryCores/ram24k.xise<br />+ /z80soc/trunk/V0.7.1/S3E/memoryCores/ram24k_flist.txt<br />+ /z80soc/trunk/V0.7.1/S3E/memoryCores/ram24k_readme.txt<br />+ /z80soc/trunk/V0.7.1/S3E/memoryCores/ram24k_upgrade.txt<br />+ /z80soc/trunk/V0.7.1/S3E/memoryCores/ram24k_xdb<br />+ /z80soc/trunk/V0.7.1/S3E/memoryCores/ram24k_xdb/tmp<br />+ /z80soc/trunk/V0.7.1/S3E/memoryCores/ram24k_xmdf.tcl<br />+ /z80soc/trunk/V0.7.1/S3E/memoryCores/ram32k.asy<br />+ /z80soc/trunk/V0.7.1/S3E/memoryCores/ram32k.gise<br />+ /z80soc/trunk/V0.7.1/S3E/memoryCores/ram32k.ise<br />+ /z80soc/trunk/V0.7.1/S3E/memoryCores/ram32k.ngc<br />+ /z80soc/trunk/V0.7.1/S3E/memoryCores/ram32k.vhd<br />+ /z80soc/trunk/V0.7.1/S3E/memoryCores/ram32k.vho<br />+ /z80soc/trunk/V0.7.1/S3E/memoryCores/ram32k.xco<br />+ /z80soc/trunk/V0.7.1/S3E/memoryCores/ram32k.xise<br />+ /z80soc/trunk/V0.7.1/S3E/memoryCores/ram32k_flist.txt<br />+ /z80soc/trunk/V0.7.1/S3E/memoryCores/ram32k_readme.txt<br />+ /z80soc/trunk/V0.7.1/S3E/memoryCores/ram32k_xdb<br />+ /z80soc/trunk/V0.7.1/S3E/memoryCores/ram32k_xdb/tmp<br />+ /z80soc/trunk/V0.7.1/S3E/memoryCores/ram32k_xmdf.tcl<br />+ /z80soc/trunk/V0.7.1/S3E/memoryCores/ram_flist.txt<br />+ /z80soc/trunk/V0.7.1/S3E/memoryCores/ram_xmdf.tcl<br />+ /z80soc/trunk/V0.7.1/S3E/memoryCores/rom.asy<br />+ /z80soc/trunk/V0.7.1/S3E/memoryCores/rom.cgc<br />+ /z80soc/trunk/V0.7.1/S3E/memoryCores/rom.cgp<br />+ /z80soc/trunk/V0.7.1/S3E/memoryCores/rom.coe<br />+ /z80soc/trunk/V0.7.1/S3E/memoryCores/rom.gise<br />+ /z80soc/trunk/V0.7.1/S3E/memoryCores/rom.log<br />+ /z80soc/trunk/V0.7.1/S3E/memoryCores/rom.mif<br />+ /z80soc/trunk/V0.7.1/S3E/memoryCores/rom.mif_<br />+ /z80soc/trunk/V0.7.1/S3E/memoryCores/rom.ngc<br />+ /z80soc/trunk/V0.7.1/S3E/memoryCores/rom.vhd<br />+ /z80soc/trunk/V0.7.1/S3E/memoryCores/rom.vho<br />+ /z80soc/trunk/V0.7.1/S3E/memoryCores/rom.xco<br />+ /z80soc/trunk/V0.7.1/S3E/memoryCores/rom.xise<br />+ /z80soc/trunk/V0.7.1/S3E/memoryCores/rom8k.asy<br />+ /z80soc/trunk/V0.7.1/S3E/memoryCores/rom8k.gise<br />+ /z80soc/trunk/V0.7.1/S3E/memoryCores/rom8k.mif<br />+ /z80soc/trunk/V0.7.1/S3E/memoryCores/rom8k.ngc<br />+ /z80soc/trunk/V0.7.1/S3E/memoryCores/rom8k.vhd<br />+ /z80soc/trunk/V0.7.1/S3E/memoryCores/rom8k.vho<br />+ /z80soc/trunk/V0.7.1/S3E/memoryCores/rom8k.xco<br />+ /z80soc/trunk/V0.7.1/S3E/memoryCores/rom8k.xise<br />+ /z80soc/trunk/V0.7.1/S3E/memoryCores/rom8k_flist.txt<br />+ /z80soc/trunk/V0.7.1/S3E/memoryCores/rom8k_xmdf.tcl<br />+ /z80soc/trunk/V0.7.1/S3E/memoryCores/rom_flist.txt<br />+ /z80soc/trunk/V0.7.1/S3E/memoryCores/rom_xmdf.tcl<br />+ /z80soc/trunk/V0.7.1/S3E/memoryCores/tmp<br />+ /z80soc/trunk/V0.7.1/S3E/memoryCores/tmp/backup_ram24k<br />+ /z80soc/trunk/V0.7.1/S3E/memoryCores/tmp/backup_ram24k/ram24k.asy<br />+ /z80soc/trunk/V0.7.1/S3E/memoryCores/tmp/backup_ram24k/ram24k.gise<br />+ /z80soc/trunk/V0.7.1/S3E/memoryCores/tmp/backup_ram24k/ram24k.ise<br />+ /z80soc/trunk/V0.7.1/S3E/memoryCores/tmp/backup_ram24k/ram24k.ngc<br />+ /z80soc/trunk/V0.7.1/S3E/memoryCores/tmp/backup_ram24k/ram24k.vhd<br />+ /z80soc/trunk/V0.7.1/S3E/memoryCores/tmp/backup_ram24k/ram24k.vho<br />+ /z80soc/trunk/V0.7.1/S3E/memoryCores/tmp/backup_ram24k/ram24k.xco<br />+ /z80soc/trunk/V0.7.1/S3E/memoryCores/tmp/backup_ram24k/ram24k.xise<br />+ /z80soc/trunk/V0.7.1/S3E/memoryCores/tmp/backup_ram24k/ram24k_flist.txt<br />+ /z80soc/trunk/V0.7.1/S3E/memoryCores/tmp/backup_ram24k/ram24k_xmdf.tcl<br />+ /z80soc/trunk/V0.7.1/S3E/memoryCores/tmp/backup_vram3200x8<br />+ /z80soc/trunk/V0.7.1/S3E/memoryCores/tmp/backup_vram3200x8/vram3200x8.asy<br />+ /z80soc/trunk/V0.7.1/S3E/memoryCores/tmp/backup_vram3200x8/vram3200x8.gise<br />+ /z80soc/trunk/V0.7.1/S3E/memoryCores/tmp/backup_vram3200x8/vram3200x8.ise<br />+ /z80soc/trunk/V0.7.1/S3E/memoryCores/tmp/backup_vram3200x8/vram3200x8.ngc<br />+ /z80soc/trunk/V0.7.1/S3E/memoryCores/tmp/backup_vram3200x8/vram3200x8.vhd<br />+ /z80soc/trunk/V0.7.1/S3E/memoryCores/tmp/backup_vram3200x8/vram3200x8.vho<br />+ /z80soc/trunk/V0.7.1/S3E/memoryCores/tmp/backup_vram3200x8/vram3200x8.xco<br />+ /z80soc/trunk/V0.7.1/S3E/memoryCores/tmp/backup_vram3200x8/vram3200x8.xise<br />+ /z80soc/trunk/V0.7.1/S3E/memoryCores/tmp/backup_vram3200x8/vram3200x8_flist.txt<br />+ /z80soc/trunk/V0.7.1/S3E/memoryCores/tmp/backup_vram3200x8/vram3200x8_xmdf.tcl<br />+ /z80soc/trunk/V0.7.1/S3E/memoryCores/tmp/_cg<br />+ /z80soc/trunk/V0.7.1/S3E/memoryCores/vram.asy<br />+ /z80soc/trunk/V0.7.1/S3E/memoryCores/vram.gise<br />+ /z80soc/trunk/V0.7.1/S3E/memoryCores/vram.ngc<br />+ /z80soc/trunk/V0.7.1/S3E/memoryCores/vram.vhd<br />+ /z80soc/trunk/V0.7.1/S3E/memoryCores/vram.vho<br />+ /z80soc/trunk/V0.7.1/S3E/memoryCores/vram.xco<br />+ /z80soc/trunk/V0.7.1/S3E/memoryCores/vram.xise<br />+ /z80soc/trunk/V0.7.1/S3E/memoryCores/vram3200x8.asy<br />+ /z80soc/trunk/V0.7.1/S3E/memoryCores/vram3200x8.gise<br />+ /z80soc/trunk/V0.7.1/S3E/memoryCores/vram3200x8.ngc<br />+ /z80soc/trunk/V0.7.1/S3E/memoryCores/vram3200x8.vhd<br />+ /z80soc/trunk/V0.7.1/S3E/memoryCores/vram3200x8.vho<br />+ /z80soc/trunk/V0.7.1/S3E/memoryCores/vram3200x8.xco<br />+ /z80soc/trunk/V0.7.1/S3E/memoryCores/vram3200x8.xise<br />+ /z80soc/trunk/V0.7.1/S3E/memoryCores/vram3200x8_flist.txt<br />+ /z80soc/trunk/V0.7.1/S3E/memoryCores/vram3200x8_readme.txt<br />+ /z80soc/trunk/V0.7.1/S3E/memoryCores/vram3200x8_upgrade.txt<br />+ /z80soc/trunk/V0.7.1/S3E/memoryCores/vram3200x8_xdb<br />+ /z80soc/trunk/V0.7.1/S3E/memoryCores/vram3200x8_xdb/tmp<br />+ /z80soc/trunk/V0.7.1/S3E/memoryCores/vram3200x8_xmdf.tcl<br />+ /z80soc/trunk/V0.7.1/S3E/memoryCores/vram_flist.txt<br />+ /z80soc/trunk/V0.7.1/S3E/memoryCores/vram_xmdf.tcl<br />+ /z80soc/trunk/V0.7.1/S3E/memoryCores/xlnx_auto_0_xdb<br />+ /z80soc/trunk/V0.7.1/S3E/memoryCores/z80socv0.7.cgc<br />+ /z80soc/trunk/V0.7.1/S3E/memoryCores/z80socv0.7.cgp<br />+ /z80soc/trunk/V0.7.1/S3E/memoryCores/_xmsgs<br />+ /z80soc/trunk/V0.7.1/S3E/memoryCores/_xmsgs/ngcbuild.xmsgs<br />+ /z80soc/trunk/V0.7.1/S3E/memoryCores/_xmsgs/pn_parser.xmsgs<br />+ /z80soc/trunk/V0.7.1/S3E/memoryCores/_xmsgs/xst.xmsgs<br />+ /z80soc/trunk/V0.7.1/S3E/vhdl<br />+ /z80soc/trunk/V0.7.1/S3E/vhdl/charrom.vhd<br />+ /z80soc/trunk/V0.7.1/S3E/vhdl/clk_div.vhd<br />+ /z80soc/trunk/V0.7.1/S3E/vhdl/clock_357mhz.vhd<br />+ /z80soc/trunk/V0.7.1/S3E/vhdl/keyboard.vhd<br />+ /z80soc/trunk/V0.7.1/S3E/vhdl/lcd.vhd<br />+ /z80soc/trunk/V0.7.1/S3E/vhdl/ps2bkd.vhd<br />+ /z80soc/trunk/V0.7.1/S3E/vhdl/rot_ctrl.vhd<br />+ /z80soc/trunk/V0.7.1/S3E/vhdl/T80.vhd<br />+ /z80soc/trunk/V0.7.1/S3E/vhdl/T80se.vhd<br />+ /z80soc/trunk/V0.7.1/S3E/vhdl/T80_ALU.vhd<br />+ /z80soc/trunk/V0.7.1/S3E/vhdl/T80_MCode.vhd<br />+ /z80soc/trunk/V0.7.1/S3E/vhdl/T80_Pack.vhd<br />+ /z80soc/trunk/V0.7.1/S3E/vhdl/T80_RegX.vhd<br />+ /z80soc/trunk/V0.7.1/S3E/vhdl/top_s3e.vhd<br />+ /z80soc/trunk/V0.7.1/S3E/vhdl/vga_sync.vhd<br />+ /z80soc/trunk/V0.7.1/S3E/vhdl/video.vhd<br />+ /z80soc/trunk/V0.7.1/S3E/vhdl/z80soc_pack.vhd<br />+ /z80soc/trunk/V0.7.1/S3E/Z80SoC.gise<br />+ /z80soc/trunk/V0.7.1/S3E/z80soc.ucf<br />+ /z80soc/trunk/V0.7.1/S3E/Z80SoC.xise<br />+ /z80soc/trunk/V0.7.1/S3E/z80soc_top.bit<br /> rrred Tue, 23 Nov 2010 23:10:39 +0100 https://opencores.org/websvn//websvn/revision?repname=z80soc&path=%2F&rev=41 ... https://opencores.org/websvn//websvn/revision?repname=z80soc&path=%2F&rev=40 <div><strong>Rev 40 - rrred</strong> (168 file(s) modified)</div><div>...</div>~ /z80soc/trunk/V0.6<br />+ /z80soc/trunk/V0.6/DE1<br />+ /z80soc/trunk/V0.6/DE1/CVS<br />+ /z80soc/trunk/V0.6/DE1/CVS/Entries<br />+ /z80soc/trunk/V0.6/DE1/CVS/Entries.Extra<br />+ /z80soc/trunk/V0.6/DE1/CVS/Entries.Extra.Old<br />+ /z80soc/trunk/V0.6/DE1/CVS/Entries.Old<br />+ /z80soc/trunk/V0.6/DE1/CVS/Repository<br />+ /z80soc/trunk/V0.6/DE1/CVS/Root<br />+ /z80soc/trunk/V0.6/DE1/CVS/Template<br />+ /z80soc/trunk/V0.6/DE1/ROM<br />+ /z80soc/trunk/V0.6/DE1/ROM/CHARROM.MIF<br />+ /z80soc/trunk/V0.6/DE1/ROM/convrom.sh<br />+ /z80soc/trunk/V0.6/DE1/ROM/CVS<br />+ /z80soc/trunk/V0.6/DE1/ROM/CVS/Entries<br />+ /z80soc/trunk/V0.6/DE1/ROM/CVS/Entries.Extra<br />+ /z80soc/trunk/V0.6/DE1/ROM/CVS/Entries.Extra.Old<br />+ /z80soc/trunk/V0.6/DE1/ROM/CVS/Entries.Old<br />+ /z80soc/trunk/V0.6/DE1/ROM/CVS/Repository<br />+ /z80soc/trunk/V0.6/DE1/ROM/CVS/Root<br />+ /z80soc/trunk/V0.6/DE1/ROM/CVS/Template<br />+ /z80soc/trunk/V0.6/DE1/ROM/drdos8x8.txt<br />+ /z80soc/trunk/V0.6/DE1/ROM/hex2rom.sh<br />+ /z80soc/trunk/V0.6/DE1/ROM/hex2rombin.sh<br />+ /z80soc/trunk/V0.6/DE1/ROM/mif2coe.sh<br />+ /z80soc/trunk/V0.6/DE1/ROM/psf2mif.sh<br />+ /z80soc/trunk/V0.6/DE1/ROM/rom.hex<br />+ /z80soc/trunk/V0.6/DE1/ROM/rom.vhd<br />+ /z80soc/trunk/V0.6/DE1/ROM/SoC_DE1.z8a<br />+ /z80soc/trunk/V0.6/DE1/ROM/z80asm.exe<br />+ /z80soc/trunk/V0.6/DE1/rtl<br />+ /z80soc/trunk/V0.6/DE1/rtl/CVS<br />+ /z80soc/trunk/V0.6/DE1/rtl/CVS/Entries<br />+ /z80soc/trunk/V0.6/DE1/rtl/CVS/Entries.Extra<br />+ /z80soc/trunk/V0.6/DE1/rtl/CVS/Entries.Extra.Old<br />+ /z80soc/trunk/V0.6/DE1/rtl/CVS/Entries.Old<br />+ /z80soc/trunk/V0.6/DE1/rtl/CVS/Repository<br />+ /z80soc/trunk/V0.6/DE1/rtl/CVS/Root<br />+ /z80soc/trunk/V0.6/DE1/rtl/CVS/Template<br />+ /z80soc/trunk/V0.6/DE1/rtl/VHDL<br />+ /z80soc/trunk/V0.6/DE1/rtl/VHDL/CHAR_ROM.VHD<br />+ /z80soc/trunk/V0.6/DE1/rtl/VHDL/clk_div.vhd<br />+ /z80soc/trunk/V0.6/DE1/rtl/VHDL/clock_357mhz.vhd<br />+ /z80soc/trunk/V0.6/DE1/rtl/VHDL/CVS<br />+ /z80soc/trunk/V0.6/DE1/rtl/VHDL/CVS/Entries<br />+ /z80soc/trunk/V0.6/DE1/rtl/VHDL/CVS/Entries.Extra<br />+ /z80soc/trunk/V0.6/DE1/rtl/VHDL/CVS/Entries.Extra.Old<br />+ /z80soc/trunk/V0.6/DE1/rtl/VHDL/CVS/Entries.Log<br />+ /z80soc/trunk/V0.6/DE1/rtl/VHDL/CVS/Entries.Old<br />+ /z80soc/trunk/V0.6/DE1/rtl/VHDL/CVS/Repository<br />+ /z80soc/trunk/V0.6/DE1/rtl/VHDL/CVS/Root<br />+ /z80soc/trunk/V0.6/DE1/rtl/VHDL/CVS/Template<br />+ /z80soc/trunk/V0.6/DE1/rtl/VHDL/decoder_7seg.vhd<br />+ /z80soc/trunk/V0.6/DE1/rtl/VHDL/PS2<br />+ /z80soc/trunk/V0.6/DE1/rtl/VHDL/PS2/CVS<br />+ /z80soc/trunk/V0.6/DE1/rtl/VHDL/PS2/CVS/Entries<br />+ /z80soc/trunk/V0.6/DE1/rtl/VHDL/PS2/CVS/Entries.Extra<br />+ /z80soc/trunk/V0.6/DE1/rtl/VHDL/PS2/CVS/Entries.Extra.Old<br />+ /z80soc/trunk/V0.6/DE1/rtl/VHDL/PS2/CVS/Entries.Old<br />+ /z80soc/trunk/V0.6/DE1/rtl/VHDL/PS2/CVS/Repository<br />+ /z80soc/trunk/V0.6/DE1/rtl/VHDL/PS2/CVS/Root<br />+ /z80soc/trunk/V0.6/DE1/rtl/VHDL/PS2/CVS/Template<br />+ /z80soc/trunk/V0.6/DE1/rtl/VHDL/PS2/KEYBOARD.VHD<br />+ /z80soc/trunk/V0.6/DE1/rtl/VHDL/PS2/ps2bkd.vhd<br />+ /z80soc/trunk/V0.6/DE1/rtl/VHDL/rom.vhd<br />+ /z80soc/trunk/V0.6/DE1/rtl/VHDL/t80<br />+ /z80soc/trunk/V0.6/DE1/rtl/VHDL/t80/CVS<br />+ /z80soc/trunk/V0.6/DE1/rtl/VHDL/t80/CVS/Entries<br />+ /z80soc/trunk/V0.6/DE1/rtl/VHDL/t80/CVS/Entries.Extra<br />+ /z80soc/trunk/V0.6/DE1/rtl/VHDL/t80/CVS/Entries.Extra.Old<br />+ /z80soc/trunk/V0.6/DE1/rtl/VHDL/t80/CVS/Entries.Old<br />+ /z80soc/trunk/V0.6/DE1/rtl/VHDL/t80/CVS/Repository<br />+ /z80soc/trunk/V0.6/DE1/rtl/VHDL/t80/CVS/Root<br />+ /z80soc/trunk/V0.6/DE1/rtl/VHDL/t80/CVS/Template<br />+ /z80soc/trunk/V0.6/DE1/rtl/VHDL/t80/DebugSystem.vhd<br />+ /z80soc/trunk/V0.6/DE1/rtl/VHDL/t80/DebugSystemXR.vhd<br />+ /z80soc/trunk/V0.6/DE1/rtl/VHDL/t80/SSRAM.vhd<br />+ /z80soc/trunk/V0.6/DE1/rtl/VHDL/t80/SSRAM2.vhd<br />+ /z80soc/trunk/V0.6/DE1/rtl/VHDL/t80/SSRAMX.vhd<br />+ /z80soc/trunk/V0.6/DE1/rtl/VHDL/t80/T80.vhd<br />+ /z80soc/trunk/V0.6/DE1/rtl/VHDL/t80/T80a.vhd<br />+ /z80soc/trunk/V0.6/DE1/rtl/VHDL/t80/T80s.vhd<br />+ /z80soc/trunk/V0.6/DE1/rtl/VHDL/t80/T80se.vhd<br />+ /z80soc/trunk/V0.6/DE1/rtl/VHDL/t80/T80sed.vhd<br />+ /z80soc/trunk/V0.6/DE1/rtl/VHDL/t80/T80_ALU.vhd<br />+ /z80soc/trunk/V0.6/DE1/rtl/VHDL/t80/T80_MCode.vhd<br />+ /z80soc/trunk/V0.6/DE1/rtl/VHDL/t80/T80_Pack.vhd<br />+ /z80soc/trunk/V0.6/DE1/rtl/VHDL/t80/T80_Reg.vhd<br />+ /z80soc/trunk/V0.6/DE1/rtl/VHDL/t80/T80_RegX.vhd<br />+ /z80soc/trunk/V0.6/DE1/rtl/VHDL/t80/T8080se.vhd<br />+ /z80soc/trunk/V0.6/DE1/rtl/VHDL/t80/T16450.vhd<br />+ /z80soc/trunk/V0.6/DE1/rtl/VHDL/top_de1.vhd<br />+ /z80soc/trunk/V0.6/DE1/rtl/VHDL/vga_sync.vhd<br />+ /z80soc/trunk/V0.6/DE1/rtl/VHDL/VIDEO.vhd<br />+ /z80soc/trunk/V0.6/DE1/rtl/VHDL/video_PLL.vhd<br />+ /z80soc/trunk/V0.6/DE1/rtl/VHDL/vram8k.vhd<br />+ /z80soc/trunk/V0.6/DE1/z80soc.qpf<br />+ /z80soc/trunk/V0.6/DE1/z80soc.qsf<br />+ /z80soc/trunk/V0.6/DE1/z80soc.sof<br />+ /z80soc/trunk/V0.6/doc<br />+ /z80soc/trunk/V0.6/doc/CVS<br />+ /z80soc/trunk/V0.6/doc/CVS/Entries<br />+ /z80soc/trunk/V0.6/doc/CVS/Entries.Extra<br />+ /z80soc/trunk/V0.6/doc/CVS/Entries.Extra.Old<br />+ /z80soc/trunk/V0.6/doc/CVS/Entries.Old<br />+ /z80soc/trunk/V0.6/doc/CVS/Repository<br />+ /z80soc/trunk/V0.6/doc/CVS/Root<br />+ /z80soc/trunk/V0.6/doc/CVS/Template<br />+ /z80soc/trunk/V0.6/doc/DISCALIMER.TXT<br />+ /z80soc/trunk/V0.6/doc/README-DE1.txt<br />+ /z80soc/trunk/V0.6/doc/README-S3E.txt<br />+ /z80soc/trunk/V0.6/doc/RevisionHistory.txt<br />+ /z80soc/trunk/V0.6/READ_THIS_FIRST.TXT<br />+ /z80soc/trunk/V0.6/S3E<br />+ /z80soc/trunk/V0.6/S3E/char.vhd<br />+ /z80soc/trunk/V0.6/S3E/charrom.vhd<br />+ /z80soc/trunk/V0.6/S3E/clk_div.vhd<br />+ /z80soc/trunk/V0.6/S3E/clock_357mhz.vhd<br />+ /z80soc/trunk/V0.6/S3E/CVS<br />+ /z80soc/trunk/V0.6/S3E/CVS/Entries<br />+ /z80soc/trunk/V0.6/S3E/CVS/Entries.Extra<br />+ /z80soc/trunk/V0.6/S3E/CVS/Entries.Extra.Old<br />+ /z80soc/trunk/V0.6/S3E/CVS/Entries.Log<br />+ /z80soc/trunk/V0.6/S3E/CVS/Entries.Old<br />+ /z80soc/trunk/V0.6/S3E/CVS/Repository<br />+ /z80soc/trunk/V0.6/S3E/CVS/Root<br />+ /z80soc/trunk/V0.6/S3E/CVS/Template<br />+ /z80soc/trunk/V0.6/S3E/KEYBOARD.VHD<br />+ /z80soc/trunk/V0.6/S3E/lcd.vhd<br />+ /z80soc/trunk/V0.6/S3E/lcdchar.vhd<br />+ /z80soc/trunk/V0.6/S3E/lcdvram.ngc<br />+ /z80soc/trunk/V0.6/S3E/lcdvram.vhd<br />+ /z80soc/trunk/V0.6/S3E/ps2bkd.vhd<br />+ /z80soc/trunk/V0.6/S3E/rom<br />+ /z80soc/trunk/V0.6/S3E/rom.vhd<br />+ /z80soc/trunk/V0.6/S3E/rom/CVS<br />+ /z80soc/trunk/V0.6/S3E/rom/CVS/Entries<br />+ /z80soc/trunk/V0.6/S3E/rom/CVS/Entries.Extra<br />+ /z80soc/trunk/V0.6/S3E/rom/CVS/Entries.Extra.Old<br />+ /z80soc/trunk/V0.6/S3E/rom/CVS/Entries.Old<br />+ /z80soc/trunk/V0.6/S3E/rom/CVS/Repository<br />+ /z80soc/trunk/V0.6/S3E/rom/CVS/Root<br />+ /z80soc/trunk/V0.6/S3E/rom/CVS/Template<br />+ /z80soc/trunk/V0.6/S3E/rom/hex2rombin.sh<br />+ /z80soc/trunk/V0.6/S3E/rom/rom.hex<br />+ /z80soc/trunk/V0.6/S3E/rom/rom.vhd<br />+ /z80soc/trunk/V0.6/S3E/rom/SoC_S3E.z8a<br />+ /z80soc/trunk/V0.6/S3E/rot_ctrl.vhd<br />+ /z80soc/trunk/V0.6/S3E/sram16k.ngc<br />+ /z80soc/trunk/V0.6/S3E/sram16k.vhd<br />+ /z80soc/trunk/V0.6/S3E/T80.vhd<br />+ /z80soc/trunk/V0.6/S3E/T80s.vhd<br />+ /z80soc/trunk/V0.6/S3E/T80se.vhd<br />+ /z80soc/trunk/V0.6/S3E/T80sed.vhd<br />+ /z80soc/trunk/V0.6/S3E/T80_ALU.vhd<br />+ /z80soc/trunk/V0.6/S3E/T80_MCode.vhd<br />+ /z80soc/trunk/V0.6/S3E/T80_Pack.vhd<br />+ /z80soc/trunk/V0.6/S3E/T80_Reg.vhd<br />+ /z80soc/trunk/V0.6/S3E/T80_RegX.vhd<br />+ /z80soc/trunk/V0.6/S3E/top_s3e.vhd<br />+ /z80soc/trunk/V0.6/S3E/vga_sync.vhd<br />+ /z80soc/trunk/V0.6/S3E/video.vhd<br />+ /z80soc/trunk/V0.6/S3E/vram8k.ngc<br />+ /z80soc/trunk/V0.6/S3E/vram8k.vhd<br />+ /z80soc/trunk/V0.6/S3E/z80soc.ise<br />+ /z80soc/trunk/V0.6/S3E/z80soc.ucf<br />+ /z80soc/trunk/V0.6/S3E/z80soc_top.bit<br />+ /z80soc/trunk/V0.6/S3E/Z80SOC_TOP_guide.ncd<br /> rrred Fri, 26 Feb 2010 22:09:31 +0100 https://opencores.org/websvn//websvn/revision?repname=z80soc&path=%2F&rev=40 ... https://opencores.org/websvn//websvn/revision?repname=z80soc&path=%2F&rev=39 <div><strong>Rev 39 - rrred</strong> (1 file(s) modified)</div><div>...</div>- /z80soc/trunk/V0.6/READ_THIS_FIRST.TXT<br /> rrred Fri, 26 Feb 2010 22:03:15 +0100 https://opencores.org/websvn//websvn/revision?repname=z80soc&path=%2F&rev=39 ... https://opencores.org/websvn//websvn/revision?repname=z80soc&path=%2F&rev=38 <div><strong>Rev 38 - rrred</strong> (1 file(s) modified)</div><div>...</div>~ /z80soc/trunk/V0.6<br /> rrred Fri, 26 Feb 2010 22:02:05 +0100 https://opencores.org/websvn//websvn/revision?repname=z80soc&path=%2F&rev=38 ... https://opencores.org/websvn//websvn/revision?repname=z80soc&path=%2F&rev=37 <div><strong>Rev 37 - rrred</strong> (1 file(s) modified)</div><div>...</div>+ /z80soc/trunk/V0.6/READ_THIS_FIRST.TXT<br /> rrred Fri, 26 Feb 2010 21:45:49 +0100 https://opencores.org/websvn//websvn/revision?repname=z80soc&path=%2F&rev=37 ... https://opencores.org/websvn//websvn/revision?repname=z80soc&path=%2F&rev=36 <div><strong>Rev 36 - rrred</strong> (6 file(s) modified)</div><div>...</div>~ /z80soc/trunk<br />- /z80soc/trunk/DE1<br />- /z80soc/trunk/doc<br />- /z80soc/trunk/READ_THIS_FIRST.TXT<br />- /z80soc/trunk/S3E<br />+ /z80soc/trunk/V0.6<br /> rrred Fri, 26 Feb 2010 21:44:22 +0100 https://opencores.org/websvn//websvn/revision?repname=z80soc&path=%2F&rev=36 ... https://opencores.org/websvn//websvn/revision?repname=z80soc&path=%2F&rev=35 <div><strong>Rev 35 - rrred</strong> (1 file(s) modified)</div><div>...</div>~ /z80soc/trunk<br /> rrred Fri, 26 Feb 2010 20:26:53 +0100 https://opencores.org/websvn//websvn/revision?repname=z80soc&path=%2F&rev=35 ... https://opencores.org/websvn//websvn/revision?repname=z80soc&path=%2F&rev=34 <div><strong>Rev 34 - rrred</strong> (174 file(s) modified)</div><div>...</div>+ /z80soc/trunk/V0.7<br />+ /z80soc/trunk/V0.7/DE1<br />+ /z80soc/trunk/V0.7/DE1/memoryCores<br />+ /z80soc/trunk/V0.7/DE1/memoryCores/charram2k.cmp<br />+ /z80soc/trunk/V0.7/DE1/memoryCores/charram2k.qip<br />+ /z80soc/trunk/V0.7/DE1/memoryCores/charram2k.vhd<br />+ /z80soc/trunk/V0.7/DE1/memoryCores/charram2k_inst.vhd<br />+ /z80soc/trunk/V0.7/DE1/memoryCores/vram3200x8.cmp<br />+ /z80soc/trunk/V0.7/DE1/memoryCores/vram3200x8.qip<br />+ /z80soc/trunk/V0.7/DE1/memoryCores/vram3200x8.vhd<br />+ /z80soc/trunk/V0.7/DE1/memoryCores/vram3200x8_inst.vhd<br />+ /z80soc/trunk/V0.7/DE1/V07b.qsf<br />+ /z80soc/trunk/V0.7/DE1/vhdl<br />+ /z80soc/trunk/V0.7/DE1/vhdl/char_rom.VHD<br />+ /z80soc/trunk/V0.7/DE1/vhdl/clk_div.vhd<br />+ /z80soc/trunk/V0.7/DE1/vhdl/clock_357mhz.vhd<br />+ /z80soc/trunk/V0.7/DE1/vhdl/decoder_7seg.vhd<br />+ /z80soc/trunk/V0.7/DE1/vhdl/keyboard.VHD<br />+ /z80soc/trunk/V0.7/DE1/vhdl/ps2bkd.vhd<br />+ /z80soc/trunk/V0.7/DE1/vhdl/T80.vhd<br />+ /z80soc/trunk/V0.7/DE1/vhdl/T80se.vhd<br />+ /z80soc/trunk/V0.7/DE1/vhdl/T80_ALU.vhd<br />+ /z80soc/trunk/V0.7/DE1/vhdl/T80_MCode.vhd<br />+ /z80soc/trunk/V0.7/DE1/vhdl/T80_Pack.vhd<br />+ /z80soc/trunk/V0.7/DE1/vhdl/T80_Reg.vhd<br />+ /z80soc/trunk/V0.7/DE1/vhdl/top_de1.vhd<br />+ /z80soc/trunk/V0.7/DE1/vhdl/vga_sync.vhd<br />+ /z80soc/trunk/V0.7/DE1/vhdl/video.vhd<br />+ /z80soc/trunk/V0.7/DE1/vhdl/video_PLL.vhd<br />+ /z80soc/trunk/V0.7/DE1/vhdl/z80soc_pack.vhd<br />+ /z80soc/trunk/V0.7/DE1/z80soc.qpf<br />+ /z80soc/trunk/V0.7/DE1/z80soc.qsf<br />+ /z80soc/trunk/V0.7/doc<br />+ /z80soc/trunk/V0.7/doc/CVS<br />+ /z80soc/trunk/V0.7/doc/CVS/Entries<br />+ /z80soc/trunk/V0.7/doc/CVS/Entries.Extra<br />+ /z80soc/trunk/V0.7/doc/CVS/Entries.Extra.Old<br />+ /z80soc/trunk/V0.7/doc/CVS/Entries.Old<br />+ /z80soc/trunk/V0.7/doc/CVS/Repository<br />+ /z80soc/trunk/V0.7/doc/CVS/Root<br />+ /z80soc/trunk/V0.7/doc/CVS/Template<br />+ /z80soc/trunk/V0.7/doc/DISCLAIMER.TXT<br />+ /z80soc/trunk/V0.7/doc/memoryLayout.txt.txt<br />+ /z80soc/trunk/V0.7/doc/README-DE1.txt<br />+ /z80soc/trunk/V0.7/doc/README-S3E.txt<br />+ /z80soc/trunk/V0.7/doc/RevisionHistory.txt<br />+ /z80soc/trunk/V0.7/READ_THIS_FIRST.TXT<br />+ /z80soc/trunk/V0.7/rom<br />+ /z80soc/trunk/V0.7/rom/char.coe<br />+ /z80soc/trunk/V0.7/rom/charrom.vhd<br />+ /z80soc/trunk/V0.7/rom/convrom.sh<br />+ /z80soc/trunk/V0.7/rom/CVS<br />+ /z80soc/trunk/V0.7/rom/CVS/Entries<br />+ /z80soc/trunk/V0.7/rom/CVS/Entries.Extra<br />+ /z80soc/trunk/V0.7/rom/CVS/Entries.Extra.Old<br />+ /z80soc/trunk/V0.7/rom/CVS/Entries.Old<br />+ /z80soc/trunk/V0.7/rom/CVS/Repository<br />+ /z80soc/trunk/V0.7/rom/CVS/Root<br />+ /z80soc/trunk/V0.7/rom/CVS/Template<br />+ /z80soc/trunk/V0.7/rom/drdos8x8.txt<br />+ /z80soc/trunk/V0.7/rom/hex2rom.sh<br />+ /z80soc/trunk/V0.7/rom/hex2rombin.sh<br />+ /z80soc/trunk/V0.7/rom/lat9-08.mif<br />+ /z80soc/trunk/V0.7/rom/lat9-08.txt<br />+ /z80soc/trunk/V0.7/rom/mif2coe.sh<br />+ /z80soc/trunk/V0.7/rom/psf2coe.sh<br />+ /z80soc/trunk/V0.7/rom/psf2coe.tmp<br />+ /z80soc/trunk/V0.7/rom/psf2mif.sh<br />+ /z80soc/trunk/V0.7/rom/psf2rom.sh<br />+ /z80soc/trunk/V0.7/rom/rom.hex<br />+ /z80soc/trunk/V0.7/rom/rom.vhd<br />+ /z80soc/trunk/V0.7/rom/rom_v07.vhd<br />+ /z80soc/trunk/V0.7/rom/SoCv0.7.z8a<br />+ /z80soc/trunk/V0.7/rom/soc_s3e_rom.vhd<br />+ /z80soc/trunk/V0.7/rom/test_rom.vhd<br />+ /z80soc/trunk/V0.7/rom/V0.7-test.z8a<br />+ /z80soc/trunk/V0.7/rom/V0.7-testb.z8a<br />+ /z80soc/trunk/V0.7/rom/V0.7-V40x80.z8a<br />+ /z80soc/trunk/V0.7/rom/z80asm.exe<br />+ /z80soc/trunk/V0.7/S3E<br />+ /z80soc/trunk/V0.7/S3E/memoryCores<br />+ /z80soc/trunk/V0.7/S3E/memoryCores/blk_mem_gen_ds512.pdf<br />+ /z80soc/trunk/V0.7/S3E/memoryCores/charram2k.asy<br />+ /z80soc/trunk/V0.7/S3E/memoryCores/charram2k.gise<br />+ /z80soc/trunk/V0.7/S3E/memoryCores/charram2k.ise<br />+ /z80soc/trunk/V0.7/S3E/memoryCores/charram2k.mif<br />+ /z80soc/trunk/V0.7/S3E/memoryCores/charram2k.ngc<br />+ /z80soc/trunk/V0.7/S3E/memoryCores/charram2k.vhd<br />+ /z80soc/trunk/V0.7/S3E/memoryCores/charram2k.vho<br />+ /z80soc/trunk/V0.7/S3E/memoryCores/charram2k.xco<br />+ /z80soc/trunk/V0.7/S3E/memoryCores/charram2k.xise<br />+ /z80soc/trunk/V0.7/S3E/memoryCores/charram2k_flist.txt<br />+ /z80soc/trunk/V0.7/S3E/memoryCores/charram2k_readme.txt<br />+ /z80soc/trunk/V0.7/S3E/memoryCores/charram2k_xdb<br />+ /z80soc/trunk/V0.7/S3E/memoryCores/charram2k_xdb/tmp<br />+ /z80soc/trunk/V0.7/S3E/memoryCores/charram2k_xmdf.tcl<br />+ /z80soc/trunk/V0.7/S3E/memoryCores/lcdvram.asy<br />+ /z80soc/trunk/V0.7/S3E/memoryCores/lcdvram.gise<br />+ /z80soc/trunk/V0.7/S3E/memoryCores/lcdvram.ise<br />+ /z80soc/trunk/V0.7/S3E/memoryCores/lcdvram.ngc<br />+ /z80soc/trunk/V0.7/S3E/memoryCores/lcdvram.vhd<br />+ /z80soc/trunk/V0.7/S3E/memoryCores/lcdvram.vho<br />+ /z80soc/trunk/V0.7/S3E/memoryCores/lcdvram.xco<br />+ /z80soc/trunk/V0.7/S3E/memoryCores/lcdvram.xise<br />+ /z80soc/trunk/V0.7/S3E/memoryCores/lcdvram_flist.txt<br />+ /z80soc/trunk/V0.7/S3E/memoryCores/lcdvram_readme.txt<br />+ /z80soc/trunk/V0.7/S3E/memoryCores/lcdvram_xdb<br />+ /z80soc/trunk/V0.7/S3E/memoryCores/lcdvram_xdb/tmp<br />+ /z80soc/trunk/V0.7/S3E/memoryCores/lcdvram_xmdf.tcl<br />+ /z80soc/trunk/V0.7/S3E/memoryCores/ram24k.asy<br />+ /z80soc/trunk/V0.7/S3E/memoryCores/ram24k.gise<br />+ /z80soc/trunk/V0.7/S3E/memoryCores/ram24k.ise<br />+ /z80soc/trunk/V0.7/S3E/memoryCores/ram24k.ngc<br />+ /z80soc/trunk/V0.7/S3E/memoryCores/ram24k.vhd<br />+ /z80soc/trunk/V0.7/S3E/memoryCores/ram24k.vho<br />+ /z80soc/trunk/V0.7/S3E/memoryCores/ram24k.xco<br />+ /z80soc/trunk/V0.7/S3E/memoryCores/ram24k.xise<br />+ /z80soc/trunk/V0.7/S3E/memoryCores/ram24k_flist.txt<br />+ /z80soc/trunk/V0.7/S3E/memoryCores/ram24k_readme.txt<br />+ /z80soc/trunk/V0.7/S3E/memoryCores/ram24k_xdb<br />+ /z80soc/trunk/V0.7/S3E/memoryCores/ram24k_xdb/tmp<br />+ /z80soc/trunk/V0.7/S3E/memoryCores/ram24k_xmdf.tcl<br />+ /z80soc/trunk/V0.7/S3E/memoryCores/ram32k.asy<br />+ /z80soc/trunk/V0.7/S3E/memoryCores/ram32k.gise<br />+ /z80soc/trunk/V0.7/S3E/memoryCores/ram32k.ise<br />+ /z80soc/trunk/V0.7/S3E/memoryCores/ram32k.ngc<br />+ /z80soc/trunk/V0.7/S3E/memoryCores/ram32k.vhd<br />+ /z80soc/trunk/V0.7/S3E/memoryCores/ram32k.vho<br />+ /z80soc/trunk/V0.7/S3E/memoryCores/ram32k.xco<br />+ /z80soc/trunk/V0.7/S3E/memoryCores/ram32k.xise<br />+ /z80soc/trunk/V0.7/S3E/memoryCores/ram32k_flist.txt<br />+ /z80soc/trunk/V0.7/S3E/memoryCores/ram32k_readme.txt<br />+ /z80soc/trunk/V0.7/S3E/memoryCores/ram32k_xdb<br />+ /z80soc/trunk/V0.7/S3E/memoryCores/ram32k_xdb/tmp<br />+ /z80soc/trunk/V0.7/S3E/memoryCores/ram32k_xmdf.tcl<br />+ /z80soc/trunk/V0.7/S3E/memoryCores/tmp<br />+ /z80soc/trunk/V0.7/S3E/memoryCores/tmp/_cg<br />+ /z80soc/trunk/V0.7/S3E/memoryCores/vram3200x8.asy<br />+ /z80soc/trunk/V0.7/S3E/memoryCores/vram3200x8.gise<br />+ /z80soc/trunk/V0.7/S3E/memoryCores/vram3200x8.ise<br />+ /z80soc/trunk/V0.7/S3E/memoryCores/vram3200x8.ngc<br />+ /z80soc/trunk/V0.7/S3E/memoryCores/vram3200x8.vhd<br />+ /z80soc/trunk/V0.7/S3E/memoryCores/vram3200x8.vho<br />+ /z80soc/trunk/V0.7/S3E/memoryCores/vram3200x8.xco<br />+ /z80soc/trunk/V0.7/S3E/memoryCores/vram3200x8.xise<br />+ /z80soc/trunk/V0.7/S3E/memoryCores/vram3200x8_flist.txt<br />+ /z80soc/trunk/V0.7/S3E/memoryCores/vram3200x8_readme.txt<br />+ /z80soc/trunk/V0.7/S3E/memoryCores/vram3200x8_xdb<br />+ /z80soc/trunk/V0.7/S3E/memoryCores/vram3200x8_xdb/tmp<br />+ /z80soc/trunk/V0.7/S3E/memoryCores/vram3200x8_xmdf.tcl<br />+ /z80soc/trunk/V0.7/S3E/memoryCores/z80socv0.7.cgp<br />+ /z80soc/trunk/V0.7/S3E/memoryCores/_xmsgs<br />+ /z80soc/trunk/V0.7/S3E/vhdl<br />+ /z80soc/trunk/V0.7/S3E/vhdl/charrom.vhd<br />+ /z80soc/trunk/V0.7/S3E/vhdl/clk_div.vhd<br />+ /z80soc/trunk/V0.7/S3E/vhdl/clock_357mhz.vhd<br />+ /z80soc/trunk/V0.7/S3E/vhdl/keyboard.vhd<br />+ /z80soc/trunk/V0.7/S3E/vhdl/lcd.vhd<br />+ /z80soc/trunk/V0.7/S3E/vhdl/ps2bkd.vhd<br />+ /z80soc/trunk/V0.7/S3E/vhdl/rot_ctrl.vhd<br />+ /z80soc/trunk/V0.7/S3E/vhdl/T80.vhd<br />+ /z80soc/trunk/V0.7/S3E/vhdl/T80se.vhd<br />+ /z80soc/trunk/V0.7/S3E/vhdl/T80_ALU.vhd<br />+ /z80soc/trunk/V0.7/S3E/vhdl/T80_MCode.vhd<br />+ /z80soc/trunk/V0.7/S3E/vhdl/T80_Pack.vhd<br />+ /z80soc/trunk/V0.7/S3E/vhdl/T80_RegX.vhd<br />+ /z80soc/trunk/V0.7/S3E/vhdl/top_s3e.vhd<br />+ /z80soc/trunk/V0.7/S3E/vhdl/vga_sync.vhd<br />+ /z80soc/trunk/V0.7/S3E/vhdl/video.vhd<br />+ /z80soc/trunk/V0.7/S3E/vhdl/z80soc_pack.vhd<br />+ /z80soc/trunk/V0.7/S3E/Z80SoC.gise<br />+ /z80soc/trunk/V0.7/S3E/Z80SoC.ise<br />+ /z80soc/trunk/V0.7/S3E/z80soc.ucf<br />+ /z80soc/trunk/V0.7/S3E/Z80SoC.xise<br /> rrred Fri, 26 Feb 2010 19:11:17 +0100 https://opencores.org/websvn//websvn/revision?repname=z80soc&path=%2F&rev=34 Added old uploaded documents to new repository. https://opencores.org/websvn//websvn/revision?repname=z80soc&path=%2F&rev=33 <div><strong>Rev 33 - root</strong> (9 file(s) modified)</div><div>Added old uploaded documents to new repository.</div>+ /z80soc/web_uploads/mP5170003.JPG<br />+ /z80soc/web_uploads/mP5180007.JPG<br />- /z80soc/web_uploads/oc_checkin.sh<br />- /z80soc/web_uploads/oc_cvs_checkin.sh<br />- /z80soc/web_uploads/svn_checkin.log<br />- /z80soc/web_uploads/svn_checkin.sh<br />- /z80soc/web_uploads/temp.sh<br />+ /z80soc/web_uploads/thumb_mP5170003.JPG<br />+ /z80soc/web_uploads/thumb_mP5180007.JPG<br /> root Tue, 10 Mar 2009 16:14:23 +0100 https://opencores.org/websvn//websvn/revision?repname=z80soc&path=%2F&rev=33 Added old uploaded documents to new repository. https://opencores.org/websvn//websvn/revision?repname=z80soc&path=%2F&rev=32 <div><strong>Rev 32 - root</strong> (5 file(s) modified)</div><div>Added old uploaded documents to new repository.</div>+ /z80soc/web_uploads/oc_checkin.sh<br />+ /z80soc/web_uploads/oc_cvs_checkin.sh<br />+ /z80soc/web_uploads/svn_checkin.log<br />+ /z80soc/web_uploads/svn_checkin.sh<br />+ /z80soc/web_uploads/temp.sh<br /> root Tue, 10 Mar 2009 10:53:24 +0100 https://opencores.org/websvn//websvn/revision?repname=z80soc&path=%2F&rev=32 New directory structure. https://opencores.org/websvn//websvn/revision?repname=z80soc&path=%2F&rev=31 <div><strong>Rev 31 - root</strong> (8 file(s) modified)</div><div>New directory structure.</div>- /branches<br />- /tags<br />- /trunk<br />+ /z80soc<br />+ /z80soc/branches<br />+ /z80soc/tags<br />+ /z80soc/trunk<br />+ /z80soc/web_uploads<br /> root Tue, 10 Mar 2009 10:52:53 +0100 https://opencores.org/websvn//websvn/revision?repname=z80soc&path=%2F&rev=31 Version 0.6 https://opencores.org/websvn//websvn/revision?repname=z80soc&path=%2F&rev=30 <div><strong>Rev 30 - rrred</strong> (27 file(s) modified)</div><div>Version 0.6</div>~ /trunk/DE1/ROM/rom.hex<br />~ /trunk/DE1/ROM/rom.vhd<br />~ /trunk/DE1/ROM/SoC_DE1.z8a<br />~ /trunk/DE1/rtl/VHDL/rom.vhd<br />~ /trunk/DE1/rtl/VHDL/top_de1.vhd<br />~ /trunk/DE1/z80soc.sof<br />+ /trunk/S3E/lcdchar.vhd<br />~ /trunk/S3E/rom.vhd<br />~ /trunk/S3E/rot_ctrl.vhd<br />~ /trunk/S3E/T80.vhd<br />+ /trunk/S3E/T80s.vhd<br />~ /trunk/S3E/T80se.vhd<br />~ /trunk/S3E/T80sed.vhd<br />~ /trunk/S3E/T80_ALU.vhd<br />~ /trunk/S3E/T80_MCode.vhd<br />~ /trunk/S3E/T80_Pack.vhd<br />~ /trunk/S3E/T80_Reg.vhd<br />~ /trunk/S3E/T80_RegX.vhd<br />~ /trunk/S3E/top_s3e.vhd<br />~ /trunk/S3E/video.vhd<br />- /trunk/S3E/vram.ngc<br />- /trunk/S3E/vram.vhd<br />+ /trunk/S3E/vram8k.ngc<br />+ /trunk/S3E/vram8k.vhd<br />~ /trunk/S3E/z80soc.ise<br />+ /trunk/S3E/z80soc_top.bit<br />~ /trunk/S3E/Z80SOC_TOP_guide.ncd<br /> rrred Sat, 24 May 2008 21:53:27 +0100 https://opencores.org/websvn//websvn/revision?repname=z80soc&path=%2F&rev=30 Version 0.6 https://opencores.org/websvn//websvn/revision?repname=z80soc&path=%2F&rev=29 <div><strong>Rev 29 - rrred</strong> (1 file(s) modified)</div><div>Version 0.6</div>+ /trunk/S3E/T80_Reg.vhd<br /> rrred Sat, 24 May 2008 21:43:06 +0100 https://opencores.org/websvn//websvn/revision?repname=z80soc&path=%2F&rev=29 Version 0.6 https://opencores.org/websvn//websvn/revision?repname=z80soc&path=%2F&rev=28 <div><strong>Rev 28 - rrred</strong> (4 file(s) modified)</div><div>Version 0.6</div>+ /trunk/S3E/rom/rom.hex<br />+ /trunk/S3E/rom/rom.vhd<br />- /trunk/S3E/rom/SoC_PS2.z8a<br />~ /trunk/S3E/rom/SoC_S3E.z8a<br /> rrred Sat, 24 May 2008 21:35:00 +0100 https://opencores.org/websvn//websvn/revision?repname=z80soc&path=%2F&rev=28 Version 0.6 https://opencores.org/websvn//websvn/revision?repname=z80soc&path=%2F&rev=27 <div><strong>Rev 27 - rrred</strong> (1 file(s) modified)</div><div>Version 0.6</div>- /trunk/DE1/ROM/z802rom.sh<br /> rrred Sat, 24 May 2008 18:48:55 +0100 https://opencores.org/websvn//websvn/revision?repname=z80soc&path=%2F&rev=27
© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.