OpenCores
URL https://opencores.org/ocsvn/zet86/zet86/trunk

Error creating feed file, please check write permissions.
zet86 WebSVN RSS feed - zet86 https://opencores.org/websvn//websvn/listing?repname=zet86&path=%2Fzet86%2Ftrunk%2Fbin%2F& Fri, 29 Mar 2024 10:40:35 +0100 FeedCreator 1.7.2 * Zet working at 25 Mhz without any visible problems... * ... https://opencores.org/websvn//websvn/revision?repname=zet86&path=%2Fzet86%2Ftrunk%2Fbin%2F&rev=52 <div><strong>Rev 52 - zeus</strong> (40 file(s) modified)</div><div>* Zet working at 25 Mhz without any visible problems...<br /> * ...</div>+ /zet86/trunk/bin/version-date.sh<br />~ /zet86/trunk/impl/virtex4-ml403ep/mem/flash_cntrl.v<br />~ /zet86/trunk/impl/virtex4-ml403ep/sim/flash_stub.v<br />~ /zet86/trunk/impl/virtex4-ml403ep/sim/t.do<br />~ /zet86/trunk/impl/virtex4-ml403ep/sim/test_kotku.v<br />~ /zet86/trunk/impl/virtex4-ml403ep/syn/clock.v<br />~ /zet86/trunk/impl/virtex4-ml403ep/syn/kotku-dbg.prj<br />~ /zet86/trunk/impl/virtex4-ml403ep/syn/kotku.prj<br />~ /zet86/trunk/impl/virtex4-ml403ep/syn/kotku.v<br />~ /zet86/trunk/impl/virtex4-ml403ep/syn/ml403.ucf<br />~ /zet86/trunk/impl/virtex4-ml403ep/test/base.cpj<br />~ /zet86/trunk/rtl-model/fetch.v<br />~ /zet86/trunk/rtl-model/util/div_su.v<br />~ /zet86/trunk/rtl-model/util/div_uu.v<br />+ /zet86/trunk/sim/signmul17.v<br />+ /zet86/trunk/soc/aceusb<br />+ /zet86/trunk/soc/aceusb/rtl<br />+ /zet86/trunk/soc/aceusb/rtl/aceusb.v<br />+ /zet86/trunk/soc/aceusb/rtl/aceusb_access.v<br />+ /zet86/trunk/soc/aceusb/rtl/aceusb_sync.v<br />~ /zet86/trunk/soc/bios/biossums.c<br />~ /zet86/trunk/soc/bios/makesym.perl<br />~ /zet86/trunk/soc/bios/rombios.c<br />~ /zet86/trunk/soc/bios/vgabios.c<br />~ /zet86/trunk/soc/keyb/rtl/ps2_keyb.v<br />+ /zet86/trunk/soc/simple_pic.v<br />~ /zet86/trunk/soc/timer.v<br />~ /zet86/trunk/src/bochs-diff-2.3.7/bochs.h<br />~ /zet86/trunk/src/bochs-diff-2.3.7/cpu/bcd.cc<br />~ /zet86/trunk/src/bochs-diff-2.3.7/cpu/cpu.cc<br />~ /zet86/trunk/src/bochs-diff-2.3.7/cpu/crregs.h<br />~ /zet86/trunk/src/bochs-diff-2.3.7/disasm/syntax.cc<br />~ /zet86/trunk/src/bochs-diff-2.3.7/gui/gui.cc<br />~ /zet86/trunk/src/bochs-diff-2.3.7/instrument/zet/instrument.cc<br />~ /zet86/trunk/src/bochs-diff-2.3.7/instrument/zet/instrument.h<br />~ /zet86/trunk/src/bochs-diff-2.3.7/iodev/devices.cc<br />~ /zet86/trunk/src/bochs-diff-2.3.7/iodev/hdemu.cc<br />~ /zet86/trunk/src/bochs-diff-2.3.7/iodev/iodev.h<br />~ /zet86/trunk/src/bochs-diff-2.3.7/plugin.h<br />+ /zet86/trunk/tests/22_sysace.s<br /> zeus Thu, 12 Mar 2009 20:09:00 +0100 https://opencores.org/websvn//websvn/revision?repname=zet86&path=%2Fzet86%2Ftrunk%2Fbin%2F&rev=52 New directory structure. https://opencores.org/websvn//websvn/revision?repname=zet86&path=%2Fzet86%2Ftrunk%2Fbin%2F&rev=49 <div><strong>Rev 49 - root</strong> (8 file(s) modified)</div><div>New directory structure.</div>- /branches<br />- /tags<br />- /trunk<br />+ /zet86<br />+ /zet86/branches<br />+ /zet86/tags<br />+ /zet86/trunk<br />+ /zet86/web_uploads<br /> root Tue, 10 Mar 2009 10:54:07 +0100 https://opencores.org/websvn//websvn/revision?repname=zet86&path=%2Fzet86%2Ftrunk%2Fbin%2F&rev=49 VGA status register and more VGA BIOS code https://opencores.org/websvn//websvn/revision?repname=zet86&path=%2Ftrunk%2Fbin%2F&rev=41 <div><strong>Rev 41 - zeus</strong> (27 file(s) modified)</div><div>VGA status register and more VGA BIOS code</div>+ /trunk/bin/ml403<br />~ /trunk/bin/prf-hd.sh<br />+ /trunk/impl/virtex4-ml403ep/syn/kotku-dbg.prj<br />+ /trunk/impl/virtex4-ml403ep/syn/kotku-dbg.xst<br />~ /trunk/impl/virtex4-ml403ep/syn/kotku.v<br />~ /trunk/impl/virtex4-ml403ep/syn/Makefile<br />~ /trunk/impl/virtex4-ml403ep/syn/ml403.ucf<br />~ /trunk/rtl-model/defines.v<br />~ /trunk/rtl-model/util/div_su.v<br />~ /trunk/rtl-model/util/div_uu.v<br />~ /trunk/soc/bios/biossums.c<br />~ /trunk/soc/bios/makesym.perl<br />~ /trunk/soc/bios/rombios.c<br />~ /trunk/soc/bios/vgabios.c<br />~ /trunk/soc/vga/rtl/vdu.v<br />~ /trunk/src/bochs-diff-2.3.7/bochs.h<br />~ /trunk/src/bochs-diff-2.3.7/cpu/bcd.cc<br />~ /trunk/src/bochs-diff-2.3.7/cpu/cpu.cc<br />~ /trunk/src/bochs-diff-2.3.7/cpu/crregs.h<br />~ /trunk/src/bochs-diff-2.3.7/disasm/syntax.cc<br />~ /trunk/src/bochs-diff-2.3.7/gui/gui.cc<br />~ /trunk/src/bochs-diff-2.3.7/instrument/zet/instrument.cc<br />~ /trunk/src/bochs-diff-2.3.7/instrument/zet/instrument.h<br />~ /trunk/src/bochs-diff-2.3.7/iodev/devices.cc<br />~ /trunk/src/bochs-diff-2.3.7/iodev/hdemu.cc<br />~ /trunk/src/bochs-diff-2.3.7/iodev/iodev.h<br />~ /trunk/src/bochs-diff-2.3.7/plugin.h<br /> zeus Tue, 13 Jan 2009 08:20:42 +0100 https://opencores.org/websvn//websvn/revision?repname=zet86&path=%2Ftrunk%2Fbin%2F&rev=41 Binaries for building ROMs https://opencores.org/websvn//websvn/revision?repname=zet86&path=%2Ftrunk%2Fbin%2F&rev=38 <div><strong>Rev 38 - zeus</strong> (9 file(s) modified)</div><div>Binaries for building ROMs</div>+ /trunk/bin/ace-ml403<br />+ /trunk/bin/dump-ram<br />+ /trunk/bin/kotku<br />+ /trunk/bin/kotku-dbg<br />+ /trunk/bin/prf-bios.sh<br />+ /trunk/bin/prf-hd.sh<br />+ /trunk/bin/prf-test.sh<br />+ /trunk/bin/prf.sh<br />- /trunk/impl/spartan3an-sk<br /> zeus Thu, 13 Nov 2008 14:39:23 +0100 https://opencores.org/websvn//websvn/revision?repname=zet86&path=%2Ftrunk%2Fbin%2F&rev=38 00, 01, 02, 03, 04, 05, 06, 07 and 09 ... https://opencores.org/websvn//websvn/revision?repname=zet86&path=%2Ftrunk%2Fbin%2F&rev=15 <div><strong>Rev 15 - zeus</strong> (18 file(s) modified)</div><div>00, 01, 02, 03, 04, 05, 06, 07 and 09 ...</div>~ /trunk/bin/web2rom<br />~ /trunk/impl/virtex4-ml403ep/kotku.v<br />~ /trunk/impl/virtex4-ml403ep/memory/clock.v<br />~ /trunk/rtl-model/alu.v<br />~ /trunk/rtl-model/cpu.v<br />~ /trunk/rtl-model/exec.v<br />~ /trunk/rtl-model/fetch.v<br />~ /trunk/rtl-model/util/primitives.v<br />~ /trunk/sim/memory.v<br />~ /trunk/tests/i86/.bochsrc<br />~ /trunk/tests/i86/01_jmpmov.s<br />~ /trunk/tests/i86/02_datatrnf.s<br />~ /trunk/tests/i86/03_control.s<br />~ /trunk/tests/i86/04_jump1.s<br />~ /trunk/tests/i86/05_jump2.s<br />~ /trunk/tests/i86/06_interrupt.s<br />~ /trunk/tests/i86/07_strings.s<br />~ /trunk/tests/i86/08_rep.s<br /> zeus Mon, 08 Sep 2008 00:36:23 +0100 https://opencores.org/websvn//websvn/revision?repname=zet86&path=%2Ftrunk%2Fbin%2F&rev=15 JMP and MOV tests passed https://opencores.org/websvn//websvn/revision?repname=zet86&path=%2Ftrunk%2Fbin%2F&rev=14 <div><strong>Rev 14 - zeus</strong> (16 file(s) modified)</div><div>JMP and MOV tests passed</div>+ /trunk/bin/out2rom-ml403.c<br />~ /trunk/impl/spartan3an-sk/rtl/vga/char_rom_b16.v<br />~ /trunk/impl/spartan3an-sk/rtl/vga/ram2k_b16.v<br />~ /trunk/impl/spartan3an-sk/rtl/vga/ram2k_b16_attr.v<br />~ /trunk/impl/spartan3an-sk/rtl/vga/vdu.v<br />+ /trunk/impl/virtex4-ml403ep/kotku.v<br />~ /trunk/impl/virtex4-ml403ep/memory/ml403-with-tft.ucf<br />~ /trunk/rtl-model/cpu.v<br />~ /trunk/rtl-model/exec.v<br />~ /trunk/rtl-model/util/primitives.v<br />~ /trunk/sim/memory.v<br />~ /trunk/sim/modelsim/tb.do<br />~ /trunk/sim/testbench.v<br />~ /trunk/tests/i86/.bochsrc<br />~ /trunk/tests/i86/01_jmpmov.s<br />~ /trunk/tests/i86/Makefile<br /> zeus Sun, 07 Sep 2008 01:46:14 +0100 https://opencores.org/websvn//websvn/revision?repname=zet86&path=%2Ftrunk%2Fbin%2F&rev=14 Initial import https://opencores.org/websvn//websvn/revision?repname=zet86&path=%2Ftrunk%2Fbin%2F&rev=2 <div><strong>Rev 2 - zeus</strong> (118 file(s) modified)</div><div>Initial import</div>+ /trunk/bin<br />+ /trunk/bin/tmproot.sh<br />+ /trunk/bin/vdt2rom<br />+ /trunk/bin/web2rom<br />+ /trunk/doc<br />+ /trunk/doc/schema.svg<br />+ /trunk/doc/schematic.odg<br />+ /trunk/files.txt<br />+ /trunk/impl<br />+ /trunk/impl/spartan3an-sk<br />+ /trunk/impl/spartan3an-sk/ise<br />+ /trunk/impl/spartan3an-sk/ise/netgen<br />+ /trunk/impl/spartan3an-sk/ise/netgen/map<br />+ /trunk/impl/spartan3an-sk/ise/netgen/map/tb.do<br />+ /trunk/impl/spartan3an-sk/ise/netgen/par<br />+ /trunk/impl/spartan3an-sk/ise/netgen/par/tb.do<br />+ /trunk/impl/spartan3an-sk/ise/netgen/synthesis<br />+ /trunk/impl/spartan3an-sk/ise/netgen/synthesis/tb.do<br />+ /trunk/impl/spartan3an-sk/ise/netgen/translate<br />+ /trunk/impl/spartan3an-sk/ise/netgen/translate/tb.do<br />+ /trunk/impl/spartan3an-sk/ise/zet_soc.ucf<br />+ /trunk/impl/spartan3an-sk/ise/zet_soc_import.tcl<br />+ /trunk/impl/spartan3an-sk/rtl<br />+ /trunk/impl/spartan3an-sk/rtl/ddr2cntrl<br />+ /trunk/impl/spartan3an-sk/rtl/ddr2cntrl/ddr2sdram.v<br />+ /trunk/impl/spartan3an-sk/rtl/ddr2cntrl/vlog_xst_bl4.v<br />+ /trunk/impl/spartan3an-sk/rtl/flash-prom<br />+ /trunk/impl/spartan3an-sk/rtl/flash-prom/flashcntrlr.v<br />+ /trunk/impl/spartan3an-sk/rtl/memory.v<br />+ /trunk/impl/spartan3an-sk/rtl/parameters.v<br />+ /trunk/impl/spartan3an-sk/rtl/vga<br />+ /trunk/impl/spartan3an-sk/rtl/vga/char_rom_b16.v<br />+ /trunk/impl/spartan3an-sk/rtl/vga/ram2k_b16.v<br />+ /trunk/impl/spartan3an-sk/rtl/vga/ram2k_b16_attr.v<br />+ /trunk/impl/spartan3an-sk/rtl/vga/vdu.v<br />+ /trunk/impl/spartan3an-sk/rtl/zet_soc.v<br />+ /trunk/impl/spartan3an-sk/sim<br />+ /trunk/impl/spartan3an-sk/sim/board.v<br />+ /trunk/impl/spartan3an-sk/sim/flash-prom<br />+ /trunk/impl/spartan3an-sk/sim/flash-prom/test_stub.vhd<br />+ /trunk/impl/spartan3an-sk/sim/memory_tb.v<br />+ /trunk/impl/spartan3an-sk/sim/modelsim<br />+ /trunk/impl/spartan3an-sk/sim/modelsim/tb.do<br />+ /trunk/impl/stratix2-nios2dk<br />+ /trunk/impl/stratix2-nios2dk/util<br />+ /trunk/impl/stratix2-nios2dk/util/lcd_display.v<br />+ /trunk/impl/stratix2-nios2dk/zet<br />+ /trunk/impl/stratix2-nios2dk/zet/altpll0.v<br />+ /trunk/impl/stratix2-nios2dk/zet/cpu.v<br />+ /trunk/impl/stratix2-nios2dk/zet/defines.v<br />+ /trunk/impl/stratix2-nios2dk/zet/exec.v<br />+ /trunk/impl/stratix2-nios2dk/zet/fetch.v<br />+ /trunk/impl/stratix2-nios2dk/zet/memory.v<br />+ /trunk/impl/stratix2-nios2dk/zet/regfile.v<br />+ /trunk/impl/stratix2-nios2dk/zet/simulation<br />+ /trunk/impl/stratix2-nios2dk/zet/simulation/modelsim<br />+ /trunk/impl/stratix2-nios2dk/zet/simulation/modelsim/cpu_gate.v<br />+ /trunk/impl/stratix2-nios2dk/zet/simulation/modelsim/gate-final.do<br />+ /trunk/impl/stratix2-nios2dk/zet/simulation/modelsim/gate.do<br />+ /trunk/impl/stratix2-nios2dk/zet/simulation/modelsim/idt71v416s10.v<br />+ /trunk/impl/stratix2-nios2dk/zet/simulation/modelsim/README<br />+ /trunk/impl/stratix2-nios2dk/zet/simulation/modelsim/rtl.do<br />+ /trunk/impl/stratix2-nios2dk/zet/zet.qpf<br />+ /trunk/impl/stratix2-nios2dk/zet/zet.qsf<br />+ /trunk/rtl-model<br />+ /trunk/rtl-model/alu.v<br />+ /trunk/rtl-model/cpu.v<br />+ /trunk/rtl-model/defines.v<br />+ /trunk/rtl-model/exec.v<br />+ /trunk/rtl-model/fetch.v<br />+ /trunk/rtl-model/jmp_cond.v<br />+ /trunk/rtl-model/regfile.v<br />+ /trunk/rtl-model/util<br />+ /trunk/rtl-model/util/primitives.v<br />+ /trunk/sim<br />+ /trunk/sim/memory.v<br />+ /trunk/sim/modelsim<br />+ /trunk/sim/modelsim/tb.do<br />+ /trunk/sim/testbench.v<br />+ /trunk/src<br />+ /trunk/src/Makefile<br />+ /trunk/src/splitlh.c<br />+ /trunk/tests<br />+ /trunk/tests/i86<br />+ /trunk/tests/i86/.bochsrc<br />+ /trunk/tests/i86/01_jmpmov.s<br />+ /trunk/tests/i86/02_datatrnf.s<br />+ /trunk/tests/i86/03_control.s<br />+ /trunk/tests/i86/04_jump1.s<br />+ /trunk/tests/i86/05_jump2.s<br />+ /trunk/tests/i86/06_interrupt.s<br />+ /trunk/tests/i86/07_strings.s<br />+ /trunk/tests/i86/08_rep.s<br />+ /trunk/tests/i86/09_vdu.s<br />+ /trunk/tests/i86/addsub.s<br />+ /trunk/tests/i86/Makefile<br />+ /trunk/uart16550<br />+ /trunk/uart16550/altera<br />+ /trunk/uart16550/altera/altpll0.v<br />+ /trunk/uart16550/altera/simulation<br />+ /trunk/uart16550/altera/simulation/modelsim<br />+ /trunk/uart16550/altera/simulation/modelsim/gate.do<br />+ /trunk/uart16550/altera/uart16550.qpf<br />+ /trunk/uart16550/altera/uart_test.v<br />+ /trunk/uart16550/altera/uart_top.qsf<br />+ /trunk/uart16550/verilog<br />+ /trunk/uart16550/verilog/raminfr.v<br />+ /trunk/uart16550/verilog/timescale.v<br />+ /trunk/uart16550/verilog/uart_debug_if.v<br />+ /trunk/uart16550/verilog/uart_defines.v<br />+ /trunk/uart16550/verilog/uart_receiver.v<br />+ /trunk/uart16550/verilog/uart_regs.v<br />+ /trunk/uart16550/verilog/uart_rfifo.v<br />+ /trunk/uart16550/verilog/uart_sync_flops.v<br />+ /trunk/uart16550/verilog/uart_tfifo.v<br />+ /trunk/uart16550/verilog/uart_top.v<br />+ /trunk/uart16550/verilog/uart_transmitter.v<br />+ /trunk/uart16550/verilog/uart_wb.v<br /> zeus Thu, 03 Jul 2008 09:06:25 +0100 https://opencores.org/websvn//websvn/revision?repname=zet86&path=%2Ftrunk%2Fbin%2F&rev=2
© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.