OpenCores
URL https://opencores.org/ocsvn/zx_ula/zx_ula/trunk

Error creating feed file, please check write permissions.
zx_ula WebSVN RSS feed - zx_ula https://opencores.org/websvn//websvn/listing?repname=zx_ula&path=& Thu, 28 Mar 2024 17:59:42 +0100 FeedCreator 1.7.2 ... https://opencores.org/websvn//websvn/revision?repname=zx_ula&path=&rev=29 <div><strong>Rev 29 - mcleod_ideafix</strong> (45 file(s) modified)</div><div>...</div>- /zx_ula/branches/xilinx/spectrum48k_spartan3a_for_gameduino_mod_vga_timex_hicolor_ulaplus<br />+ /zx_ula/branches/xilinx/spectrum_48k_spartan3a_for_gameduino_mod_vga_timex_hicolor_ulaplus<br />+ /zx_ula/branches/xilinx/spectrum_48k_spartan3a_for_gameduino_mod_vga_timex_hicolor_ulaplus/audio_management.v<br />+ /zx_ula/branches/xilinx/spectrum_48k_spartan3a_for_gameduino_mod_vga_timex_hicolor_ulaplus/bitstreams<br />+ /zx_ula/branches/xilinx/spectrum_48k_spartan3a_for_gameduino_mod_vga_timex_hicolor_ulaplus/bitstreams/tld_spartan3a_sp48k.bit<br />+ /zx_ula/branches/xilinx/spectrum_48k_spartan3a_for_gameduino_mod_vga_timex_hicolor_ulaplus/display.v<br />+ /zx_ula/branches/xilinx/spectrum_48k_spartan3a_for_gameduino_mod_vga_timex_hicolor_ulaplus/iseconfig<br />+ /zx_ula/branches/xilinx/spectrum_48k_spartan3a_for_gameduino_mod_vga_timex_hicolor_ulaplus/iseconfig/tld_spartan3a_sp48k.xreport<br />+ /zx_ula/branches/xilinx/spectrum_48k_spartan3a_for_gameduino_mod_vga_timex_hicolor_ulaplus/iseconfig/zx_spectrum_48k.projectmgr<br />+ /zx_ula/branches/xilinx/spectrum_48k_spartan3a_for_gameduino_mod_vga_timex_hicolor_ulaplus/mapa_es.inc<br />+ /zx_ula/branches/xilinx/spectrum_48k_spartan3a_for_gameduino_mod_vga_timex_hicolor_ulaplus/master_clock.v<br />+ /zx_ula/branches/xilinx/spectrum_48k_spartan3a_for_gameduino_mod_vga_timex_hicolor_ulaplus/ps2controller.v<br />+ /zx_ula/branches/xilinx/spectrum_48k_spartan3a_for_gameduino_mod_vga_timex_hicolor_ulaplus/ps2keyboard.vhd<br />+ /zx_ula/branches/xilinx/spectrum_48k_spartan3a_for_gameduino_mod_vga_timex_hicolor_ulaplus/ps2_intf.vhd<br />+ /zx_ula/branches/xilinx/spectrum_48k_spartan3a_for_gameduino_mod_vga_timex_hicolor_ulaplus/ram.v<br />+ /zx_ula/branches/xilinx/spectrum_48k_spartan3a_for_gameduino_mod_vga_timex_hicolor_ulaplus/ram64bytes.v<br />+ /zx_ula/branches/xilinx/spectrum_48k_spartan3a_for_gameduino_mod_vga_timex_hicolor_ulaplus/rgbdtoa.v<br />+ /zx_ula/branches/xilinx/spectrum_48k_spartan3a_for_gameduino_mod_vga_timex_hicolor_ulaplus/rom.mif<br />+ /zx_ula/branches/xilinx/spectrum_48k_spartan3a_for_gameduino_mod_vga_timex_hicolor_ulaplus/rom.ngc<br />+ /zx_ula/branches/xilinx/spectrum_48k_spartan3a_for_gameduino_mod_vga_timex_hicolor_ulaplus/rom.v<br />+ /zx_ula/branches/xilinx/spectrum_48k_spartan3a_for_gameduino_mod_vga_timex_hicolor_ulaplus/sp48k_for_mod_vga.ucf<br />+ /zx_ula/branches/xilinx/spectrum_48k_spartan3a_for_gameduino_mod_vga_timex_hicolor_ulaplus/spectrum48k_tld.v<br />+ /zx_ula/branches/xilinx/spectrum_48k_spartan3a_for_gameduino_mod_vga_timex_hicolor_ulaplus/T80.vhd<br />+ /zx_ula/branches/xilinx/spectrum_48k_spartan3a_for_gameduino_mod_vga_timex_hicolor_ulaplus/T80a.vhd<br />+ /zx_ula/branches/xilinx/spectrum_48k_spartan3a_for_gameduino_mod_vga_timex_hicolor_ulaplus/T80_ALU.vhd<br />+ /zx_ula/branches/xilinx/spectrum_48k_spartan3a_for_gameduino_mod_vga_timex_hicolor_ulaplus/T80_MCode.vhd<br />+ /zx_ula/branches/xilinx/spectrum_48k_spartan3a_for_gameduino_mod_vga_timex_hicolor_ulaplus/T80_Pack.vhd<br />+ /zx_ula/branches/xilinx/spectrum_48k_spartan3a_for_gameduino_mod_vga_timex_hicolor_ulaplus/T80_Reg.vhd<br />+ /zx_ula/branches/xilinx/spectrum_48k_spartan3a_for_gameduino_mod_vga_timex_hicolor_ulaplus/teclado_ps2.v<br />+ /zx_ula/branches/xilinx/spectrum_48k_spartan3a_for_gameduino_mod_vga_timex_hicolor_ulaplus/tld_spartan3a_sp48k_bitgen.xwbt<br />+ /zx_ula/branches/xilinx/spectrum_48k_spartan3a_for_gameduino_mod_vga_timex_hicolor_ulaplus/tld_spartan3a_sp48k_guide.ncd<br />+ /zx_ula/branches/xilinx/spectrum_48k_spartan3a_for_gameduino_mod_vga_timex_hicolor_ulaplus/tld_spartan3a_sp48k_summary.html<br />+ /zx_ula/branches/xilinx/spectrum_48k_spartan3a_for_gameduino_mod_vga_timex_hicolor_ulaplus/tv80n.v<br />+ /zx_ula/branches/xilinx/spectrum_48k_spartan3a_for_gameduino_mod_vga_timex_hicolor_ulaplus/tv80_alu.v<br />+ /zx_ula/branches/xilinx/spectrum_48k_spartan3a_for_gameduino_mod_vga_timex_hicolor_ulaplus/tv80_core.v<br />+ /zx_ula/branches/xilinx/spectrum_48k_spartan3a_for_gameduino_mod_vga_timex_hicolor_ulaplus/tv80_mcode.v<br />+ /zx_ula/branches/xilinx/spectrum_48k_spartan3a_for_gameduino_mod_vga_timex_hicolor_ulaplus/tv80_reg.v<br />+ /zx_ula/branches/xilinx/spectrum_48k_spartan3a_for_gameduino_mod_vga_timex_hicolor_ulaplus/tv80_to_t80_wrapper.v<br />+ /zx_ula/branches/xilinx/spectrum_48k_spartan3a_for_gameduino_mod_vga_timex_hicolor_ulaplus/ula.v<br />+ /zx_ula/branches/xilinx/spectrum_48k_spartan3a_for_gameduino_mod_vga_timex_hicolor_ulaplus/zx_spectrum_48k.gise<br />+ /zx_ula/branches/xilinx/spectrum_48k_spartan3a_for_gameduino_mod_vga_timex_hicolor_ulaplus/zx_spectrum_48k.xise<br />~ /zx_ula/branches/xilinx/ulaplus_replacement-upgrade_for_sp16-48k/rtl_ulaplus/ipcore_dir/master_ula_clock.xaw<br />~ /zx_ula/branches/xilinx/ulaplus_replacement-upgrade_for_sp16-48k/rtl_ulaplus/iseconfig/ulaplus_replacement.projectmgr<br />~ /zx_ula/branches/xilinx/ulaplus_replacement-upgrade_for_sp16-48k/rtl_ulaplus/ula.v<br />~ /zx_ula/branches/xilinx/ulaplus_replacement-upgrade_for_sp16-48k/rtl_ulaplus/ulaplus_tld.v<br /> mcleod_ideafix Sun, 06 Jan 2013 15:39:51 +0100 https://opencores.org/websvn//websvn/revision?repname=zx_ula&path=&rev=29 ... https://opencores.org/websvn//websvn/revision?repname=zx_ula&path=&rev=28 <div><strong>Rev 28 - mcleod_ideafix</strong> (40 file(s) modified)</div><div>...</div>+ /zx_ula/branches/xilinx/spectrum48k_spartan3a_for_gameduino_mod_vga_timex_hicolor_ulaplus<br />+ /zx_ula/branches/xilinx/spectrum48k_spartan3a_for_gameduino_mod_vga_timex_hicolor_ulaplus/audio_management.v<br />+ /zx_ula/branches/xilinx/spectrum48k_spartan3a_for_gameduino_mod_vga_timex_hicolor_ulaplus/bitstreams<br />+ /zx_ula/branches/xilinx/spectrum48k_spartan3a_for_gameduino_mod_vga_timex_hicolor_ulaplus/bitstreams/tld_spartan3a_sp48k.bit<br />+ /zx_ula/branches/xilinx/spectrum48k_spartan3a_for_gameduino_mod_vga_timex_hicolor_ulaplus/display.v<br />+ /zx_ula/branches/xilinx/spectrum48k_spartan3a_for_gameduino_mod_vga_timex_hicolor_ulaplus/iseconfig<br />+ /zx_ula/branches/xilinx/spectrum48k_spartan3a_for_gameduino_mod_vga_timex_hicolor_ulaplus/iseconfig/tld_spartan3a_sp48k.xreport<br />+ /zx_ula/branches/xilinx/spectrum48k_spartan3a_for_gameduino_mod_vga_timex_hicolor_ulaplus/iseconfig/zx_spectrum_48k.projectmgr<br />+ /zx_ula/branches/xilinx/spectrum48k_spartan3a_for_gameduino_mod_vga_timex_hicolor_ulaplus/mapa_es.inc<br />+ /zx_ula/branches/xilinx/spectrum48k_spartan3a_for_gameduino_mod_vga_timex_hicolor_ulaplus/master_clock.v<br />+ /zx_ula/branches/xilinx/spectrum48k_spartan3a_for_gameduino_mod_vga_timex_hicolor_ulaplus/ps2controller.v<br />+ /zx_ula/branches/xilinx/spectrum48k_spartan3a_for_gameduino_mod_vga_timex_hicolor_ulaplus/ps2keyboard.vhd<br />+ /zx_ula/branches/xilinx/spectrum48k_spartan3a_for_gameduino_mod_vga_timex_hicolor_ulaplus/ps2_intf.vhd<br />+ /zx_ula/branches/xilinx/spectrum48k_spartan3a_for_gameduino_mod_vga_timex_hicolor_ulaplus/ram.v<br />+ /zx_ula/branches/xilinx/spectrum48k_spartan3a_for_gameduino_mod_vga_timex_hicolor_ulaplus/ram64bytes.v<br />+ /zx_ula/branches/xilinx/spectrum48k_spartan3a_for_gameduino_mod_vga_timex_hicolor_ulaplus/rgbdtoa.v<br />+ /zx_ula/branches/xilinx/spectrum48k_spartan3a_for_gameduino_mod_vga_timex_hicolor_ulaplus/rom.mif<br />+ /zx_ula/branches/xilinx/spectrum48k_spartan3a_for_gameduino_mod_vga_timex_hicolor_ulaplus/rom.ngc<br />+ /zx_ula/branches/xilinx/spectrum48k_spartan3a_for_gameduino_mod_vga_timex_hicolor_ulaplus/rom.v<br />+ /zx_ula/branches/xilinx/spectrum48k_spartan3a_for_gameduino_mod_vga_timex_hicolor_ulaplus/sp48k_for_mod_vga.ucf<br />+ /zx_ula/branches/xilinx/spectrum48k_spartan3a_for_gameduino_mod_vga_timex_hicolor_ulaplus/spectrum48k_tld.v<br />+ /zx_ula/branches/xilinx/spectrum48k_spartan3a_for_gameduino_mod_vga_timex_hicolor_ulaplus/T80.vhd<br />+ /zx_ula/branches/xilinx/spectrum48k_spartan3a_for_gameduino_mod_vga_timex_hicolor_ulaplus/T80a.vhd<br />+ /zx_ula/branches/xilinx/spectrum48k_spartan3a_for_gameduino_mod_vga_timex_hicolor_ulaplus/T80_ALU.vhd<br />+ /zx_ula/branches/xilinx/spectrum48k_spartan3a_for_gameduino_mod_vga_timex_hicolor_ulaplus/T80_MCode.vhd<br />+ /zx_ula/branches/xilinx/spectrum48k_spartan3a_for_gameduino_mod_vga_timex_hicolor_ulaplus/T80_Pack.vhd<br />+ /zx_ula/branches/xilinx/spectrum48k_spartan3a_for_gameduino_mod_vga_timex_hicolor_ulaplus/T80_Reg.vhd<br />+ /zx_ula/branches/xilinx/spectrum48k_spartan3a_for_gameduino_mod_vga_timex_hicolor_ulaplus/teclado_ps2.v<br />+ /zx_ula/branches/xilinx/spectrum48k_spartan3a_for_gameduino_mod_vga_timex_hicolor_ulaplus/tld_spartan3a_sp48k_bitgen.xwbt<br />+ /zx_ula/branches/xilinx/spectrum48k_spartan3a_for_gameduino_mod_vga_timex_hicolor_ulaplus/tld_spartan3a_sp48k_guide.ncd<br />+ /zx_ula/branches/xilinx/spectrum48k_spartan3a_for_gameduino_mod_vga_timex_hicolor_ulaplus/tld_spartan3a_sp48k_summary.html<br />+ /zx_ula/branches/xilinx/spectrum48k_spartan3a_for_gameduino_mod_vga_timex_hicolor_ulaplus/tv80n.v<br />+ /zx_ula/branches/xilinx/spectrum48k_spartan3a_for_gameduino_mod_vga_timex_hicolor_ulaplus/tv80_alu.v<br />+ /zx_ula/branches/xilinx/spectrum48k_spartan3a_for_gameduino_mod_vga_timex_hicolor_ulaplus/tv80_core.v<br />+ /zx_ula/branches/xilinx/spectrum48k_spartan3a_for_gameduino_mod_vga_timex_hicolor_ulaplus/tv80_mcode.v<br />+ /zx_ula/branches/xilinx/spectrum48k_spartan3a_for_gameduino_mod_vga_timex_hicolor_ulaplus/tv80_reg.v<br />+ /zx_ula/branches/xilinx/spectrum48k_spartan3a_for_gameduino_mod_vga_timex_hicolor_ulaplus/tv80_to_t80_wrapper.v<br />+ /zx_ula/branches/xilinx/spectrum48k_spartan3a_for_gameduino_mod_vga_timex_hicolor_ulaplus/ula.v<br />+ /zx_ula/branches/xilinx/spectrum48k_spartan3a_for_gameduino_mod_vga_timex_hicolor_ulaplus/zx_spectrum_48k.gise<br />+ /zx_ula/branches/xilinx/spectrum48k_spartan3a_for_gameduino_mod_vga_timex_hicolor_ulaplus/zx_spectrum_48k.xise<br /> mcleod_ideafix Sun, 06 Jan 2013 14:59:07 +0100 https://opencores.org/websvn//websvn/revision?repname=zx_ula&path=&rev=28 ... https://opencores.org/websvn//websvn/revision?repname=zx_ula&path=&rev=27 <div><strong>Rev 27 - mcleod_ideafix</strong> (16 file(s) modified)</div><div>...</div>+ /zx_ula/branches/xilinx/spectrum_16k_with_rom_game_for_ols/bitstreams<br />+ /zx_ula/branches/xilinx/spectrum_16k_with_rom_game_for_ols/bitstreams/OLS_spartan3e-250_sp16k.bit<br />+ /zx_ula/branches/xilinx/spectrum_16k_with_rom_game_for_ols/master_clock.v<br />+ /zx_ula/branches/xilinx/spectrum_16k_with_rom_game_for_ols/ram.v<br />+ /zx_ula/branches/xilinx/spectrum_16k_with_rom_game_for_ols/rom.ngc<br />+ /zx_ula/branches/xilinx/spectrum_16k_with_rom_game_for_ols/rom.v<br />+ /zx_ula/branches/xilinx/spectrum_16k_with_rom_game_for_ols/sp16k_for_spartan3e_OLS.ucf<br />+ /zx_ula/branches/xilinx/spectrum_16k_with_rom_game_for_ols/spectrum16k_TOP.v<br />+ /zx_ula/branches/xilinx/spectrum_16k_with_rom_game_for_ols/tv80n.v<br />+ /zx_ula/branches/xilinx/spectrum_16k_with_rom_game_for_ols/tv80_alu.v<br />+ /zx_ula/branches/xilinx/spectrum_16k_with_rom_game_for_ols/tv80_core.v<br />+ /zx_ula/branches/xilinx/spectrum_16k_with_rom_game_for_ols/tv80_mcode.v<br />+ /zx_ula/branches/xilinx/spectrum_16k_with_rom_game_for_ols/tv80_reg.v<br />+ /zx_ula/branches/xilinx/spectrum_16k_with_rom_game_for_ols/ula.v<br />+ /zx_ula/branches/xilinx/spectrum_16k_with_rom_game_for_ols/zx_spectrum_16k.gise<br />+ /zx_ula/branches/xilinx/spectrum_16k_with_rom_game_for_ols/zx_spectrum_16k.xise<br /> mcleod_ideafix Thu, 20 Dec 2012 12:10:32 +0100 https://opencores.org/websvn//websvn/revision?repname=zx_ula&path=&rev=27 ... https://opencores.org/websvn//websvn/revision?repname=zx_ula&path=&rev=26 <div><strong>Rev 26 - mcleod_ideafix</strong> (27 file(s) modified)</div><div>...</div>+ /zx_ula/branches/xilinx/ulaplus_replacement-upgrade_for_sp16-48k<br />+ /zx_ula/branches/xilinx/ulaplus_replacement-upgrade_for_sp16-48k/rtl_ulaplus<br />+ /zx_ula/branches/xilinx/ulaplus_replacement-upgrade_for_sp16-48k/rtl_ulaplus/audio_management.v<br />+ /zx_ula/branches/xilinx/ulaplus_replacement-upgrade_for_sp16-48k/rtl_ulaplus/coregen_xil_3244_19.cgc<br />+ /zx_ula/branches/xilinx/ulaplus_replacement-upgrade_for_sp16-48k/rtl_ulaplus/coregen_xil_3244_19.cgp<br />+ /zx_ula/branches/xilinx/ulaplus_replacement-upgrade_for_sp16-48k/rtl_ulaplus/ipcore_dir<br />+ /zx_ula/branches/xilinx/ulaplus_replacement-upgrade_for_sp16-48k/rtl_ulaplus/ipcore_dir/master_ula_clock.v<br />+ /zx_ula/branches/xilinx/ulaplus_replacement-upgrade_for_sp16-48k/rtl_ulaplus/ipcore_dir/master_ula_clock.xaw<br />+ /zx_ula/branches/xilinx/ulaplus_replacement-upgrade_for_sp16-48k/rtl_ulaplus/ipcore_dir/master_ula_clock_arwz.ucf<br />+ /zx_ula/branches/xilinx/ulaplus_replacement-upgrade_for_sp16-48k/rtl_ulaplus/ipcore_dir/master_ula_clock_flist.txt<br />+ /zx_ula/branches/xilinx/ulaplus_replacement-upgrade_for_sp16-48k/rtl_ulaplus/ipcore_dir/master_ula_clock_xmdf.tcl<br />+ /zx_ula/branches/xilinx/ulaplus_replacement-upgrade_for_sp16-48k/rtl_ulaplus/ipcore_dir/tmp<br />+ /zx_ula/branches/xilinx/ulaplus_replacement-upgrade_for_sp16-48k/rtl_ulaplus/ipcore_dir/tmp/_cg<br />+ /zx_ula/branches/xilinx/ulaplus_replacement-upgrade_for_sp16-48k/rtl_ulaplus/ipcore_dir/xaw2verilog.log<br />+ /zx_ula/branches/xilinx/ulaplus_replacement-upgrade_for_sp16-48k/rtl_ulaplus/iseconfig<br />+ /zx_ula/branches/xilinx/ulaplus_replacement-upgrade_for_sp16-48k/rtl_ulaplus/iseconfig/.xreport<br />+ /zx_ula/branches/xilinx/ulaplus_replacement-upgrade_for_sp16-48k/rtl_ulaplus/iseconfig/ulaplus_replacement.projectmgr<br />+ /zx_ula/branches/xilinx/ulaplus_replacement-upgrade_for_sp16-48k/rtl_ulaplus/master_ula_clock.v<br />+ /zx_ula/branches/xilinx/ulaplus_replacement-upgrade_for_sp16-48k/rtl_ulaplus/master_ula_clock_arwz.ucf<br />+ /zx_ula/branches/xilinx/ulaplus_replacement-upgrade_for_sp16-48k/rtl_ulaplus/ram64bytes.v<br />+ /zx_ula/branches/xilinx/ulaplus_replacement-upgrade_for_sp16-48k/rtl_ulaplus/rgb_builder.v<br />+ /zx_ula/branches/xilinx/ulaplus_replacement-upgrade_for_sp16-48k/rtl_ulaplus/ula.v<br />+ /zx_ula/branches/xilinx/ulaplus_replacement-upgrade_for_sp16-48k/rtl_ulaplus/ulaplus_replacement.gise<br />+ /zx_ula/branches/xilinx/ulaplus_replacement-upgrade_for_sp16-48k/rtl_ulaplus/ulaplus_replacement.xise<br />+ /zx_ula/branches/xilinx/ulaplus_replacement-upgrade_for_sp16-48k/rtl_ulaplus/ulaplus_tld.v<br />+ /zx_ula/branches/xilinx/ulaplus_replacement-upgrade_for_sp16-48k/rtl_ulaplus/ula_pins.ucf<br />+ /zx_ula/branches/xilinx/ulaplus_replacement-upgrade_for_sp16-48k/rtl_ulaplus/_xmsgs<br /> mcleod_ideafix Wed, 26 Sep 2012 09:09:51 +0100 https://opencores.org/websvn//websvn/revision?repname=zx_ula&path=&rev=26 ... https://opencores.org/websvn//websvn/revision?repname=zx_ula&path=&rev=25 <div><strong>Rev 25 - mcleod_ideafix</strong> (3 file(s) modified)</div><div>...</div>~ /zx_ula/branches/xilinx/spectrum_48k_spartan3_starter_kit_timex_hicolor_ulaplus/bitstreams/spartan3-1000_sp48k.bit<br />~ /zx_ula/branches/xilinx/spectrum_48k_spartan3_starter_kit_timex_hicolor_ulaplus/T80_MCode.vhd<br />~ /zx_ula/branches/xilinx/spectrum_48k_spartan3_starter_kit_timex_hicolor_ulaplus/ula.v<br /> mcleod_ideafix Sat, 19 May 2012 03:40:29 +0100 https://opencores.org/websvn//websvn/revision?repname=zx_ula&path=&rev=25 ... https://opencores.org/websvn//websvn/revision?repname=zx_ula&path=&rev=24 <div><strong>Rev 24 - mcleod_ideafix</strong> (4 file(s) modified)</div><div>...</div>~ /zx_ula/branches/xilinx/spectrum_48k_spartan3_starter_kit_timex_hicolor_ulaplus/bitstreams/spartan3-1000_sp48k.bit<br />~ /zx_ula/branches/xilinx/spectrum_48k_spartan3_starter_kit_timex_hicolor_ulaplus/T80.vhd<br />~ /zx_ula/branches/xilinx/spectrum_48k_spartan3_starter_kit_timex_hicolor_ulaplus/T80_MCode.vhd<br />~ /zx_ula/branches/xilinx/spectrum_48k_spartan3_starter_kit_timex_hicolor_ulaplus/zx_spectrum_48k.xise<br /> mcleod_ideafix Fri, 18 May 2012 04:50:29 +0100 https://opencores.org/websvn//websvn/revision?repname=zx_ula&path=&rev=24 ... https://opencores.org/websvn//websvn/revision?repname=zx_ula&path=&rev=23 <div><strong>Rev 23 - mcleod_ideafix</strong> (17 file(s) modified)</div><div>...</div>~ /zx_ula/branches/xilinx/spectrum_48k_spartan3_starter_kit_timex_hicolor_ulaplus/bitstreams/spartan3-200_sp48k.bit<br />~ /zx_ula/branches/xilinx/spectrum_48k_spartan3_starter_kit_timex_hicolor_ulaplus/bitstreams/spartan3-1000_sp48k.bit<br />~ /zx_ula/branches/xilinx/spectrum_48k_spartan3_starter_kit_timex_hicolor_ulaplus/bitstreams/spartan3_1000_xcf04s.mcs<br />~ /zx_ula/branches/xilinx/spectrum_48k_spartan3_starter_kit_timex_hicolor_ulaplus/spectrum48k_tld.v<br />+ /zx_ula/branches/xilinx/spectrum_48k_spartan3_starter_kit_timex_hicolor_ulaplus/T80.vhd<br />+ /zx_ula/branches/xilinx/spectrum_48k_spartan3_starter_kit_timex_hicolor_ulaplus/T80a.vhd<br />+ /zx_ula/branches/xilinx/spectrum_48k_spartan3_starter_kit_timex_hicolor_ulaplus/T80_ALU.vhd<br />+ /zx_ula/branches/xilinx/spectrum_48k_spartan3_starter_kit_timex_hicolor_ulaplus/T80_MCode.vhd<br />+ /zx_ula/branches/xilinx/spectrum_48k_spartan3_starter_kit_timex_hicolor_ulaplus/T80_Pack.vhd<br />+ /zx_ula/branches/xilinx/spectrum_48k_spartan3_starter_kit_timex_hicolor_ulaplus/T80_Reg.vhd<br />- /zx_ula/branches/xilinx/spectrum_48k_spartan3_starter_kit_timex_hicolor_ulaplus/tv80n.v<br />- /zx_ula/branches/xilinx/spectrum_48k_spartan3_starter_kit_timex_hicolor_ulaplus/tv80_alu.v<br />- /zx_ula/branches/xilinx/spectrum_48k_spartan3_starter_kit_timex_hicolor_ulaplus/tv80_core.v<br />- /zx_ula/branches/xilinx/spectrum_48k_spartan3_starter_kit_timex_hicolor_ulaplus/tv80_mcode.v<br />- /zx_ula/branches/xilinx/spectrum_48k_spartan3_starter_kit_timex_hicolor_ulaplus/tv80_reg.v<br />+ /zx_ula/branches/xilinx/spectrum_48k_spartan3_starter_kit_timex_hicolor_ulaplus/tv80_to_t80_wrapper.v<br />~ /zx_ula/branches/xilinx/spectrum_48k_spartan3_starter_kit_timex_hicolor_ulaplus/zx_spectrum_48k.xise<br /> mcleod_ideafix Sun, 13 May 2012 03:52:03 +0100 https://opencores.org/websvn//websvn/revision?repname=zx_ula&path=&rev=23 ... https://opencores.org/websvn//websvn/revision?repname=zx_ula&path=&rev=22 <div><strong>Rev 22 - mcleod_ideafix</strong> (8 file(s) modified)</div><div>...</div>~ /zx_ula/branches/xilinx/spectrum_48k_spartan3_starter_kit_timex_hicolor_ulaplus/bitstreams/spartan3-200_sp48k.bit<br />~ /zx_ula/branches/xilinx/spectrum_48k_spartan3_starter_kit_timex_hicolor_ulaplus/bitstreams/spartan3-1000_sp48k.bit<br />+ /zx_ula/branches/xilinx/spectrum_48k_spartan3_starter_kit_timex_hicolor_ulaplus/bitstreams/spartan3_1000_xcf04s.mcs<br />~ /zx_ula/branches/xilinx/spectrum_48k_spartan3_starter_kit_timex_hicolor_ulaplus/spectrum48k_tld.v<br />~ /zx_ula/branches/xilinx/spectrum_48k_spartan3_starter_kit_timex_hicolor_ulaplus/zx_spectrum_48k.xise<br />+ /zx_ula/software/ulaplus_demos/slideshow_ulaplus_timexhicolor_famous_pictures.tap<br />~ /zx_ula/trunk/fpga_version/ula_test_for_ise_and_isim/isim_test_for_ula.gise<br />~ /zx_ula/trunk/fpga_version/ula_test_for_ise_and_isim/isim_test_for_ula.xise<br /> mcleod_ideafix Sat, 12 May 2012 02:57:11 +0100 https://opencores.org/websvn//websvn/revision?repname=zx_ula&path=&rev=22 ... https://opencores.org/websvn//websvn/revision?repname=zx_ula&path=&rev=21 <div><strong>Rev 21 - mcleod_ideafix</strong> (8 file(s) modified)</div><div>...</div>+ /zx_ula/trunk/fpga_version/ula_test_for_ise_and_isim/cpu.v<br />+ /zx_ula/trunk/fpga_version/ula_test_for_ise_and_isim/isim_test_for_ula.gise<br />+ /zx_ula/trunk/fpga_version/ula_test_for_ise_and_isim/isim_test_for_ula.xise<br />+ /zx_ula/trunk/fpga_version/ula_test_for_ise_and_isim/ram64bytes.v<br />+ /zx_ula/trunk/fpga_version/ula_test_for_ise_and_isim/signalview_ulaplus.wcfg<br />~ /zx_ula/trunk/fpga_version/ula_test_for_ise_and_isim/test_ula.v<br />+ /zx_ula/trunk/fpga_version/ula_test_for_ise_and_isim/test_ulaplus.v<br />+ /zx_ula/trunk/fpga_version/ula_test_for_ise_and_isim/ula_with_timex_hicolor_support_and_ulaplus.v<br /> mcleod_ideafix Wed, 02 May 2012 19:13:18 +0100 https://opencores.org/websvn//websvn/revision?repname=zx_ula&path=&rev=21 ... https://opencores.org/websvn//websvn/revision?repname=zx_ula&path=&rev=20 <div><strong>Rev 20 - mcleod_ideafix</strong> (1 file(s) modified)</div><div>...</div>- /zx_ula/branches/xilinx/spectrum_48k_spartan3_starter_kit_timex_hicolor_ulaplus/tld_spartan3_sp48k_bitgen.xwbt<br /> mcleod_ideafix Wed, 02 May 2012 19:13:03 +0100 https://opencores.org/websvn//websvn/revision?repname=zx_ula&path=&rev=20 ... https://opencores.org/websvn//websvn/revision?repname=zx_ula&path=&rev=19 <div><strong>Rev 19 - mcleod_ideafix</strong> (1 file(s) modified)</div><div>...</div>+ /zx_ula/trunk/fpga_version/rtl/ula_with_timex_hicolor_support_and_ulaplus.v<br /> mcleod_ideafix Tue, 01 May 2012 22:22:17 +0100 https://opencores.org/websvn//websvn/revision?repname=zx_ula&path=&rev=19 ... https://opencores.org/websvn//websvn/revision?repname=zx_ula&path=&rev=18 <div><strong>Rev 18 - mcleod_ideafix</strong> (10 file(s) modified)</div><div>...</div>+ /zx_ula/branches/xilinx/spectrum_48k_spartan3_starter_kit_timex_hicolor_ulaplus/bitstreams/spartan3-200_sp48k.bit<br />+ /zx_ula/branches/xilinx/spectrum_48k_spartan3_starter_kit_timex_hicolor_ulaplus/bitstreams/spartan3-1000_sp48k.bit<br />- /zx_ula/branches/xilinx/spectrum_48k_spartan3_starter_kit_timex_hicolor_ulaplus/bitstreams/ULAPLUS_NO_YET_FINISHED.TXT<br />~ /zx_ula/branches/xilinx/spectrum_48k_spartan3_starter_kit_timex_hicolor_ulaplus/master_clock.v<br />+ /zx_ula/branches/xilinx/spectrum_48k_spartan3_starter_kit_timex_hicolor_ulaplus/rgbdtoa.v<br />~ /zx_ula/branches/xilinx/spectrum_48k_spartan3_starter_kit_timex_hicolor_ulaplus/spectrum48k_tld.v<br />+ /zx_ula/branches/xilinx/spectrum_48k_spartan3_starter_kit_timex_hicolor_ulaplus/tld_spartan3_sp48k_bitgen.xwbt<br />~ /zx_ula/branches/xilinx/spectrum_48k_spartan3_starter_kit_timex_hicolor_ulaplus/ula.v<br />- /zx_ula/branches/xilinx/spectrum_48k_spartan3_starter_kit_timex_hicolor_ulaplus/ULAPLUS_NO_YET_FINISHED.TXT<br />~ /zx_ula/branches/xilinx/spectrum_48k_spartan3_starter_kit_timex_hicolor_ulaplus/zx_spectrum_48k.xise<br /> mcleod_ideafix Tue, 01 May 2012 21:24:38 +0100 https://opencores.org/websvn//websvn/revision?repname=zx_ula&path=&rev=18 ... https://opencores.org/websvn//websvn/revision?repname=zx_ula&path=&rev=17 <div><strong>Rev 17 - mcleod_ideafix</strong> (1 file(s) modified)</div><div>...</div>~ /zx_ula/software/ulaplus_demos/slideshow_timex_64.tap<br /> mcleod_ideafix Tue, 01 May 2012 21:00:12 +0100 https://opencores.org/websvn//websvn/revision?repname=zx_ula&path=&rev=17 ... https://opencores.org/websvn//websvn/revision?repname=zx_ula&path=&rev=16 <div><strong>Rev 16 - mcleod_ideafix</strong> (5 file(s) modified)</div><div>...</div>+ /zx_ula/software/ulaplus_demos<br />+ /zx_ula/software/ulaplus_demos/24-bit.tap<br />+ /zx_ula/software/ulaplus_demos/C64hi-res.tap<br />+ /zx_ula/software/ulaplus_demos/Multicolour.tap<br />+ /zx_ula/software/ulaplus_demos/slideshow_timex_64.tap<br /> mcleod_ideafix Sun, 29 Apr 2012 23:38:57 +0100 https://opencores.org/websvn//websvn/revision?repname=zx_ula&path=&rev=16 ... https://opencores.org/websvn//websvn/revision?repname=zx_ula&path=&rev=15 <div><strong>Rev 15 - mcleod_ideafix</strong> (25 file(s) modified)</div><div>...</div>+ /zx_ula/branches/xilinx/spectrum_48k_spartan3_starter_kit_timex_hicolor_ulaplus<br />+ /zx_ula/branches/xilinx/spectrum_48k_spartan3_starter_kit_timex_hicolor_ulaplus/audio_management.v<br />+ /zx_ula/branches/xilinx/spectrum_48k_spartan3_starter_kit_timex_hicolor_ulaplus/bitstreams<br />+ /zx_ula/branches/xilinx/spectrum_48k_spartan3_starter_kit_timex_hicolor_ulaplus/bitstreams/ULAPLUS_NO_YET_FINISHED.TXT<br />+ /zx_ula/branches/xilinx/spectrum_48k_spartan3_starter_kit_timex_hicolor_ulaplus/display.v<br />+ /zx_ula/branches/xilinx/spectrum_48k_spartan3_starter_kit_timex_hicolor_ulaplus/mapa_es.inc<br />+ /zx_ula/branches/xilinx/spectrum_48k_spartan3_starter_kit_timex_hicolor_ulaplus/master_clock.v<br />+ /zx_ula/branches/xilinx/spectrum_48k_spartan3_starter_kit_timex_hicolor_ulaplus/ps2controller.v<br />+ /zx_ula/branches/xilinx/spectrum_48k_spartan3_starter_kit_timex_hicolor_ulaplus/ram.v<br />+ /zx_ula/branches/xilinx/spectrum_48k_spartan3_starter_kit_timex_hicolor_ulaplus/ram64bytes.v<br />+ /zx_ula/branches/xilinx/spectrum_48k_spartan3_starter_kit_timex_hicolor_ulaplus/rom.mif<br />+ /zx_ula/branches/xilinx/spectrum_48k_spartan3_starter_kit_timex_hicolor_ulaplus/rom.ngc<br />+ /zx_ula/branches/xilinx/spectrum_48k_spartan3_starter_kit_timex_hicolor_ulaplus/rom.v<br />+ /zx_ula/branches/xilinx/spectrum_48k_spartan3_starter_kit_timex_hicolor_ulaplus/sp48k_for_spartan3_starter_kit.ucf<br />+ /zx_ula/branches/xilinx/spectrum_48k_spartan3_starter_kit_timex_hicolor_ulaplus/spectrum48k_tld.v<br />+ /zx_ula/branches/xilinx/spectrum_48k_spartan3_starter_kit_timex_hicolor_ulaplus/teclado_ps2.v<br />+ /zx_ula/branches/xilinx/spectrum_48k_spartan3_starter_kit_timex_hicolor_ulaplus/tv80n.v<br />+ /zx_ula/branches/xilinx/spectrum_48k_spartan3_starter_kit_timex_hicolor_ulaplus/tv80_alu.v<br />+ /zx_ula/branches/xilinx/spectrum_48k_spartan3_starter_kit_timex_hicolor_ulaplus/tv80_core.v<br />+ /zx_ula/branches/xilinx/spectrum_48k_spartan3_starter_kit_timex_hicolor_ulaplus/tv80_mcode.v<br />+ /zx_ula/branches/xilinx/spectrum_48k_spartan3_starter_kit_timex_hicolor_ulaplus/tv80_reg.v<br />+ /zx_ula/branches/xilinx/spectrum_48k_spartan3_starter_kit_timex_hicolor_ulaplus/ula.v<br />+ /zx_ula/branches/xilinx/spectrum_48k_spartan3_starter_kit_timex_hicolor_ulaplus/ULAPLUS_NO_YET_FINISHED.TXT<br />+ /zx_ula/branches/xilinx/spectrum_48k_spartan3_starter_kit_timex_hicolor_ulaplus/zx_spectrum_48k.gise<br />+ /zx_ula/branches/xilinx/spectrum_48k_spartan3_starter_kit_timex_hicolor_ulaplus/zx_spectrum_48k.xise<br /> mcleod_ideafix Sun, 29 Apr 2012 23:31:41 +0100 https://opencores.org/websvn//websvn/revision?repname=zx_ula&path=&rev=15 ... https://opencores.org/websvn//websvn/revision?repname=zx_ula&path=&rev=14 <div><strong>Rev 14 - mcleod_ideafix</strong> (24 file(s) modified)</div><div>...</div>+ /zx_ula/branches/xilinx/spectrum_48k_spartan3_starter_kit_timex_hicolor<br />+ /zx_ula/branches/xilinx/spectrum_48k_spartan3_starter_kit_timex_hicolor/audio_management.v<br />+ /zx_ula/branches/xilinx/spectrum_48k_spartan3_starter_kit_timex_hicolor/bitstreams<br />+ /zx_ula/branches/xilinx/spectrum_48k_spartan3_starter_kit_timex_hicolor/bitstreams/spartan3-200_sp48k.bit<br />+ /zx_ula/branches/xilinx/spectrum_48k_spartan3_starter_kit_timex_hicolor/bitstreams/spartan3-1000_sp48k.bit<br />+ /zx_ula/branches/xilinx/spectrum_48k_spartan3_starter_kit_timex_hicolor/display.v<br />+ /zx_ula/branches/xilinx/spectrum_48k_spartan3_starter_kit_timex_hicolor/mapa_es.inc<br />+ /zx_ula/branches/xilinx/spectrum_48k_spartan3_starter_kit_timex_hicolor/master_clock.v<br />+ /zx_ula/branches/xilinx/spectrum_48k_spartan3_starter_kit_timex_hicolor/ps2controller.v<br />+ /zx_ula/branches/xilinx/spectrum_48k_spartan3_starter_kit_timex_hicolor/ram.v<br />+ /zx_ula/branches/xilinx/spectrum_48k_spartan3_starter_kit_timex_hicolor/rom.mif<br />+ /zx_ula/branches/xilinx/spectrum_48k_spartan3_starter_kit_timex_hicolor/rom.ngc<br />+ /zx_ula/branches/xilinx/spectrum_48k_spartan3_starter_kit_timex_hicolor/rom.v<br />+ /zx_ula/branches/xilinx/spectrum_48k_spartan3_starter_kit_timex_hicolor/sp48k_for_spartan3_starter_kit.ucf<br />+ /zx_ula/branches/xilinx/spectrum_48k_spartan3_starter_kit_timex_hicolor/spectrum48k_tld.v<br />+ /zx_ula/branches/xilinx/spectrum_48k_spartan3_starter_kit_timex_hicolor/teclado_ps2.v<br />+ /zx_ula/branches/xilinx/spectrum_48k_spartan3_starter_kit_timex_hicolor/tv80n.v<br />+ /zx_ula/branches/xilinx/spectrum_48k_spartan3_starter_kit_timex_hicolor/tv80_alu.v<br />+ /zx_ula/branches/xilinx/spectrum_48k_spartan3_starter_kit_timex_hicolor/tv80_core.v<br />+ /zx_ula/branches/xilinx/spectrum_48k_spartan3_starter_kit_timex_hicolor/tv80_mcode.v<br />+ /zx_ula/branches/xilinx/spectrum_48k_spartan3_starter_kit_timex_hicolor/tv80_reg.v<br />+ /zx_ula/branches/xilinx/spectrum_48k_spartan3_starter_kit_timex_hicolor/ula.v<br />+ /zx_ula/branches/xilinx/spectrum_48k_spartan3_starter_kit_timex_hicolor/zx_spectrum_48k.gise<br />+ /zx_ula/branches/xilinx/spectrum_48k_spartan3_starter_kit_timex_hicolor/zx_spectrum_48k.xise<br /> mcleod_ideafix Sun, 29 Apr 2012 18:11:54 +0100 https://opencores.org/websvn//websvn/revision?repname=zx_ula&path=&rev=14 ... https://opencores.org/websvn//websvn/revision?repname=zx_ula&path=&rev=13 <div><strong>Rev 13 - mcleod_ideafix</strong> (5 file(s) modified)</div><div>...</div>+ /zx_ula/software<br />+ /zx_ula/software/timex_hicolor_demos<br />+ /zx_ula/software/timex_hicolor_demos/giselle.tap<br />+ /zx_ula/software/timex_hicolor_demos/mazinger.tap<br />+ /zx_ula/software/timex_hicolor_demos/under_the_sea.tap<br /> mcleod_ideafix Sun, 29 Apr 2012 18:10:20 +0100 https://opencores.org/websvn//websvn/revision?repname=zx_ula&path=&rev=13 ... https://opencores.org/websvn//websvn/revision?repname=zx_ula&path=&rev=12 <div><strong>Rev 12 - mcleod_ideafix</strong> (1 file(s) modified)</div><div>...</div>+ /zx_ula/trunk/fpga_version/rtl/ula_with_timex_hicolor_support.v<br /> mcleod_ideafix Sun, 29 Apr 2012 18:10:09 +0100 https://opencores.org/websvn//websvn/revision?repname=zx_ula&path=&rev=12 ... https://opencores.org/websvn//websvn/revision?repname=zx_ula&path=&rev=11 <div><strong>Rev 11 - mcleod_ideafix</strong> (1 file(s) modified)</div><div>...</div>+ /zx_ula/branches/xilinx/spectrum_48k_for_digilent_spartan3_starter_kit/connections_at_starter_board.jpg<br /> mcleod_ideafix Sun, 29 Apr 2012 01:52:46 +0100 https://opencores.org/websvn//websvn/revision?repname=zx_ula&path=&rev=11 ... https://opencores.org/websvn//websvn/revision?repname=zx_ula&path=&rev=10 <div><strong>Rev 10 - mcleod_ideafix</strong> (24 file(s) modified)</div><div>...</div>+ /zx_ula/branches/xilinx/spectrum_48k_for_digilent_spartan3_starter_kit_with_ps2_keyboard<br />+ /zx_ula/branches/xilinx/spectrum_48k_for_digilent_spartan3_starter_kit_with_ps2_keyboard/audio_management.v<br />+ /zx_ula/branches/xilinx/spectrum_48k_for_digilent_spartan3_starter_kit_with_ps2_keyboard/bitstreams<br />+ /zx_ula/branches/xilinx/spectrum_48k_for_digilent_spartan3_starter_kit_with_ps2_keyboard/bitstreams/spartan3-200_sp48k.bit<br />+ /zx_ula/branches/xilinx/spectrum_48k_for_digilent_spartan3_starter_kit_with_ps2_keyboard/bitstreams/spartan3-1000_sp48k.bit<br />+ /zx_ula/branches/xilinx/spectrum_48k_for_digilent_spartan3_starter_kit_with_ps2_keyboard/display.v<br />+ /zx_ula/branches/xilinx/spectrum_48k_for_digilent_spartan3_starter_kit_with_ps2_keyboard/mapa_es.inc<br />+ /zx_ula/branches/xilinx/spectrum_48k_for_digilent_spartan3_starter_kit_with_ps2_keyboard/master_clock.v<br />+ /zx_ula/branches/xilinx/spectrum_48k_for_digilent_spartan3_starter_kit_with_ps2_keyboard/ps2controller.v<br />+ /zx_ula/branches/xilinx/spectrum_48k_for_digilent_spartan3_starter_kit_with_ps2_keyboard/ram.v<br />+ /zx_ula/branches/xilinx/spectrum_48k_for_digilent_spartan3_starter_kit_with_ps2_keyboard/rom.mif<br />+ /zx_ula/branches/xilinx/spectrum_48k_for_digilent_spartan3_starter_kit_with_ps2_keyboard/rom.ngc<br />+ /zx_ula/branches/xilinx/spectrum_48k_for_digilent_spartan3_starter_kit_with_ps2_keyboard/rom.v<br />+ /zx_ula/branches/xilinx/spectrum_48k_for_digilent_spartan3_starter_kit_with_ps2_keyboard/sp48k_for_spartan3_starter_kit.ucf<br />+ /zx_ula/branches/xilinx/spectrum_48k_for_digilent_spartan3_starter_kit_with_ps2_keyboard/spectrum48k_tld.v<br />+ /zx_ula/branches/xilinx/spectrum_48k_for_digilent_spartan3_starter_kit_with_ps2_keyboard/teclado_ps2.v<br />+ /zx_ula/branches/xilinx/spectrum_48k_for_digilent_spartan3_starter_kit_with_ps2_keyboard/tv80n.v<br />+ /zx_ula/branches/xilinx/spectrum_48k_for_digilent_spartan3_starter_kit_with_ps2_keyboard/tv80_alu.v<br />+ /zx_ula/branches/xilinx/spectrum_48k_for_digilent_spartan3_starter_kit_with_ps2_keyboard/tv80_core.v<br />+ /zx_ula/branches/xilinx/spectrum_48k_for_digilent_spartan3_starter_kit_with_ps2_keyboard/tv80_mcode.v<br />+ /zx_ula/branches/xilinx/spectrum_48k_for_digilent_spartan3_starter_kit_with_ps2_keyboard/tv80_reg.v<br />+ /zx_ula/branches/xilinx/spectrum_48k_for_digilent_spartan3_starter_kit_with_ps2_keyboard/ula.v<br />+ /zx_ula/branches/xilinx/spectrum_48k_for_digilent_spartan3_starter_kit_with_ps2_keyboard/zx_spectrum_48k.gise<br />+ /zx_ula/branches/xilinx/spectrum_48k_for_digilent_spartan3_starter_kit_with_ps2_keyboard/zx_spectrum_48k.xise<br /> mcleod_ideafix Sun, 29 Apr 2012 01:11:28 +0100 https://opencores.org/websvn//websvn/revision?repname=zx_ula&path=&rev=10
© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.