OpenCores

Ethernet Switch on Configurable Logic

Project maintainers

Details

Name: esoc
Created: Apr 9, 2014
Updated: Jul 25, 2019
SVN Updated: Aug 23, 2014
SVN: Browse
Latest version: download (might take a bit to start...)
Statistics: View
Bugs: 1 reported / 0 solved
Star10you like it: star it!

Other project properties

Category:Communication controller
Language:VHDL
Development status:Beta
Additional info:Design done
WishBone compliant: No
WishBone version: n/a
License: LGPL

Basic Description

Provide a basic description of the core here. Thanks. OC-team