OpenCores
Issue List
The pseudo random number generator of srio_pcs_struct is incorrect #5
Open magro732 opened this issue over 9 years ago
magro732 commented over 9 years ago

The pseudo_random_number_generator in srio_pcs_struct is incorrect. It does not generate random numbers with the expected randomness of a 7:th degree polynom.

azdem was assigned over 9 years ago

Assignee
azdem
Labels
Bug