OpenCores

* Viterbi Decoder (AXI4-Stream compliant)

Issue List
Simulation Error #8
Open rajareddy opened this issue about 9 years ago
rajareddy commented about 9 years ago

HI,

I am gettine the fallowing Errors from my Isim Simulator.

ISim P.20131013 (signature 0x7708f090)

WARNING:Security:42 - Your software subscription period has lapsed. Your current version of Xilinx tools will continue to function, but you no longer qualify for Xilinx software updates or new releases.


This is a Full version of ISim. Time resolution is 1 ps Simulator is doing circuit initialization process. at 0 ps, Instance /tb_dec_viterbi/inst_dec_viterbi/inst_ram_ctrl/ : Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 at 0 ps, Instance /tb_dec_viterbi/inst_dec_viterbi/inst_ram_ctrl/ : Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 at 0 ps, Instance /tb_dec_viterbi/inst_dec_viterbi/inst_ram_ctrl/ : Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 at 0 ps, Instance /tb_dec_viterbi/inst_dec_viterbi/inst_ram_ctrl/ : Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 at 0 ps, Instance /tb_dec_viterbi/inst_dec_viterbi/\gen_inst_reorder(1)\/inst_reorder/ : Warning: NUMERIC_STD."=": metavalue detected, returning FALSE at 0 ps, Instance /tb_dec_viterbi/inst_dec_viterbi/\gen_inst_reorder(0)\/inst_reorder/ : Warning: NUMERIC_STD."=": metavalue detected, returning FALSE Finished circuit initialization process. at 5 ns, Instance /tb_dec_viterbi/inst_dec_viterbi/inst_ram_ctrl/\gen_generic_sp_ram(0)\/inst_generic_sp_ram/ : Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). at 5 ns, Instance /tb_dec_viterbi/inst_dec_viterbi/inst_ram_ctrl/\gen_generic_sp_ram(0)\/inst_generic_sp_ram/ : Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0. at 5 ns, Instance /tb_dec_viterbi/inst_dec_viterbi/inst_ram_ctrl/\gen_generic_sp_ram(1)\/inst_generic_sp_ram/ : Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0. at 5 ns, Instance /tb_dec_viterbi/inst_dec_viterbi/inst_ram_ctrl/\gen_generic_sp_ram(2)\/inst_generic_sp_ram/ : Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0. at 5 ns, Instance /tb_dec_viterbi/inst_dec_viterbi/inst_ram_ctrl/\gen_generic_sp_ram(3)\/inst_generic_sp_ram/ : Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0. Reading C:\Users\gontu\Desktop\test\WiFi_121_91/llr_BL_200_WL_55_AL_50_in.txt. at 35 ns, Instance /tb_dec_viterbi/inst_dec_viterbi/inst_ram_ctrl/\gen_generic_sp_ram(0)\/inst_generic_sp_ram/ : Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0. at 35 ns, Instance /tb_dec_viterbi/inst_dec_viterbi/inst_ram_ctrl/\gen_generic_sp_ram(1)\/inst_generic_sp_ram/ : Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0. at 35 ns, Instance /tb_dec_viterbi/inst_dec_viterbi/inst_ram_ctrl/\gen_generic_sp_ram(2)\/inst_generic_sp_ram/ : Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0. at 35 ns, Instance /tb_dec_viterbi/inst_dec_viterbi/inst_ram_ctrl/\gen_generic_sp_ram(3)\/inst_generic_sp_ram/ : Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0. at 45 ns, Instance /tb_dec_viterbi/inst_dec_viterbi/inst_ram_ctrl/\gen_generic_sp_ram(0)\/inst_generic_sp_ram/ : Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0. at 45 ns, Instance /tb_dec_viterbi/inst_dec_viterbi/inst_ram_ctrl/\gen_generic_sp_ram(1)\/inst_generic_sp_ram/ : Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0. at 45 ns, Instance /tb_dec_viterbi/inst_dec_viterbi/inst_ram_ctrl/\gen_generic_sp_ram(2)\/inst_generic_sp_ram/ : Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0. at 45 ns, Instance /tb_dec_viterbi/inst_dec_viterbi/inst_ram_ctrl/\gen_generic_sp_ram(3)\/inst_generic_sp_ram/ : Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0. at 55 ns, Instance /tb_dec_viterbi/inst_dec_viterbi/inst_ram_ctrl/\gen_generic_sp_ram(1)\/inst_generic_sp_ram/ : Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0. at 55 ns, Instance /tb_dec_viterbi/inst_dec_viterbi/inst_ram_ctrl/\gen_generic_sp_ram(2)\/inst_generic_sp_ram/ : Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0. at 55 ns, Instance /tb_dec_viterbi/inst_dec_viterbi/inst_ram_ctrl/\gen_generic_sp_ram(3)\/inst_generic_sp_ram/ : Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0.

I am not getting any Output data from the Decoder. The s_axis_output_tdata Signal is always Zero because of the above error's. so Decoder is interpreting all the values into 0 for all the similation time. I have added the new package. so there is no compilation error just Simulation Errors.


Assignee
No one
Labels
Request